diff --git a/project/MMC.al b/project/MMC.al
index 1b70840..f541abc 100644
--- a/project/MMC.al
+++ b/project/MMC.al
@@ -1,296 +1,296 @@
-
-
- 2022-07-11 21:44:56
- 5.0.43066
- 11100000
- MMC
-
- EG4
- EG4S20BG256
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
- CortexM0_SoC
-
-
-
-
- on
-
-
- on
-
-
- on
-
-
- on
-
-
- D:/intelFPGA_pro/21.2/al3_10_ver
-
-
-
-
-
-
-
- 2022-07-22 13:39:39.195
- 60
- true
-
-
+
+
+ 2023-05-06 23:23:57
+ 5.0.43066
+ 11100000
+ MMC
+
+ EG4
+ EG4S20BG256
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ CortexM0_SoC
+
+
+
+
+ on
+
+
+ on
+
+
+ on
+
+
+ on
+
+
+ D:/intelFPGA_pro/21.2/al3_10_ver
+
+
+
+
+
+
+
+ 2023-05-06 23:26:16.837
+ 30
+ true
+
+
diff --git a/project/MMC_gate.area b/project/MMC_gate.area
index 5c471ba..af69ea5 100644
--- a/project/MMC_gate.area
+++ b/project/MMC_gate.area
@@ -1,44 +1,44 @@
-standard
-***Report Model: CortexM0_SoC***
-
-IO Statistics
-#IO 39
- #input 8
- #output 30
- #inout 1
-
-LUT Statistics
-#Total_luts 9828
- #lut4 7291
- #lut5 1581
- #lut6 0
- #lut5_mx41 0
- #lut4_alu1b 956
-
-Utilization Statistics
-#lut 9828 out of 19600 50.14%
-#reg 2074 out of 19600 10.58%
-#le 0
-#dsp 26 out of 29 89.66%
-#bram 32 out of 64 50.00%
- #bram9k 32
- #fifo9k 0
-#bram32k 0 out of 16 0.00%
-#dram 1040
-#adc 1 out of 1 100.00%
-#pad 39 out of 186 20.97%
- #ireg 0
- #oreg 0
- #treg 0
-#pll 2 out of 4 50.00%
-
-Report Hierarchy Area:
-+------------------------------------------------------------------------------+
-|Instance |Module |lut |ripple |seq |bram |dsp |
-+------------------------------------------------------------------------------+
-|top |CortexM0_SoC |8872 |956 |2074 |32 |26 |
-| FM_Display |FM_Display |180 |90 |81 |0 |0 |
-| FM_HW |FM_HW |3473 |595 |391 |0 |23 |
-| FM_Demodulation |FM_Demodulation |12 |422 |268 |0 |22 |
-| u_logic |cortexm0ds_logic |4791 |173 |1317 |0 |3 |
-+------------------------------------------------------------------------------+
+standard
+***Report Model: CortexM0_SoC***
+
+IO Statistics
+#IO 39
+ #input 8
+ #output 30
+ #inout 1
+
+LUT Statistics
+#Total_luts 9828
+ #lut4 7291
+ #lut5 1581
+ #lut6 0
+ #lut5_mx41 0
+ #lut4_alu1b 956
+
+Utilization Statistics
+#lut 9828 out of 19600 50.14%
+#reg 2074 out of 19600 10.58%
+#le 0
+#dsp 26 out of 29 89.66%
+#bram 32 out of 64 50.00%
+ #bram9k 32
+ #fifo9k 0
+#bram32k 0 out of 16 0.00%
+#dram 1040
+#adc 1 out of 1 100.00%
+#pad 39 out of 186 20.97%
+ #ireg 0
+ #oreg 0
+ #treg 0
+#pll 2 out of 4 50.00%
+
+Report Hierarchy Area:
++------------------------------------------------------------------------------+
+|Instance |Module |lut |ripple |seq |bram |dsp |
++------------------------------------------------------------------------------+
+|top |CortexM0_SoC |8872 |956 |2074 |32 |26 |
+| FM_Display |FM_Display |180 |90 |81 |0 |0 |
+| FM_HW |FM_HW |3473 |595 |391 |0 |23 |
+| FM_Demodulation |FM_Demodulation |12 |422 |268 |0 |22 |
+| u_logic |cortexm0ds_logic |4791 |173 |1317 |0 |3 |
++------------------------------------------------------------------------------+
diff --git a/project/MMC_rtl.area b/project/MMC_rtl.area
index ff63816..d71c89e 100644
--- a/project/MMC_rtl.area
+++ b/project/MMC_rtl.area
@@ -1,40 +1,40 @@
-standard
-***Report Model: CortexM0_SoC***
-
-IO Statistics
-#IO 39
- #input 8
- #output 30
- #inout 1
-
-Gate Statistics
-#Basic gates 21235
- #and 9763
- #nand 0
- #or 2092
- #nor 0
- #xor 76
- #xnor 0
- #buf 0
- #not 6669
- #bufif1 1
- #MX21 547
- #FADD 0
- #DFF 2087
- #LATCH 0
-#MACRO_ADD 66
-#MACRO_EQ 112
-#MACRO_MULT 26
-#MACRO_MUX 626
-#MACRO_OTHERS 13
-
-Report Hierarchy Area:
-+--------------------------------------------------------------+
-|Instance |Module |gates |seq |macros |
-+--------------------------------------------------------------+
-|top |CortexM0_SoC |19148 |2087 |217 |
-| FM_Display |FM_Display |45 |81 |38 |
-| FM_HW |FM_HW |105 |403 |85 |
-| FM_Demodulation |FM_Demodulation |7 |280 |49 |
-| u_logic |cortexm0ds_logic |18681 |1318 |14 |
-+--------------------------------------------------------------+
+standard
+***Report Model: CortexM0_SoC***
+
+IO Statistics
+#IO 39
+ #input 8
+ #output 30
+ #inout 1
+
+Gate Statistics
+#Basic gates 21235
+ #and 9763
+ #nand 0
+ #or 2092
+ #nor 0
+ #xor 76
+ #xnor 0
+ #buf 0
+ #not 6669
+ #bufif1 1
+ #MX21 547
+ #FADD 0
+ #DFF 2087
+ #LATCH 0
+#MACRO_ADD 66
+#MACRO_EQ 112
+#MACRO_MULT 26
+#MACRO_MUX 626
+#MACRO_OTHERS 13
+
+Report Hierarchy Area:
++--------------------------------------------------------------+
+|Instance |Module |gates |seq |macros |
++--------------------------------------------------------------+
+|top |CortexM0_SoC |19148 |2087 |217 |
+| FM_Display |FM_Display |45 |81 |38 |
+| FM_HW |FM_HW |105 |403 |85 |
+| FM_Demodulation |FM_Demodulation |7 |280 |49 |
+| u_logic |cortexm0ds_logic |18681 |1318 |14 |
++--------------------------------------------------------------+
diff --git a/project/simulation/MMC_gate_sim.v b/project/simulation/MMC_gate_sim.v
index 2c18b9a..3ea2690 100644
--- a/project/simulation/MMC_gate_sim.v
+++ b/project/simulation/MMC_gate_sim.v
@@ -1,179553 +1,179553 @@
-// Verilog netlist created by TD v5.0.43066
-// Tue Jul 19 23:12:15 2022
-
-`timescale 1ns / 1ps
-module CortexM0_SoC // ../rtl/topmodule/CortexM0_SoC.v(2)
- (
- RSTn,
- RXD,
- SWCLK,
- clk,
- col,
- LED,
- MSI_CS,
- MSI_REFCLK,
- MSI_SCLK,
- MSI_SDATA,
- TXD,
- audio_pwm,
- row,
- seg,
- sel,
- SWDIO
- );
-
- input RSTn; // ../rtl/topmodule/CortexM0_SoC.v(8)
- input RXD; // ../rtl/topmodule/CortexM0_SoC.v(13)
- input SWCLK; // ../rtl/topmodule/CortexM0_SoC.v(10)
- input clk; // ../rtl/topmodule/CortexM0_SoC.v(7)
- input [3:0] col; // ../rtl/topmodule/CortexM0_SoC.v(21)
- output [7:0] LED; // ../rtl/topmodule/CortexM0_SoC.v(11)
- output MSI_CS; // ../rtl/topmodule/CortexM0_SoC.v(16)
- output MSI_REFCLK; // ../rtl/topmodule/CortexM0_SoC.v(14)
- output MSI_SCLK; // ../rtl/topmodule/CortexM0_SoC.v(17)
- output MSI_SDATA; // ../rtl/topmodule/CortexM0_SoC.v(15)
- output TXD; // ../rtl/topmodule/CortexM0_SoC.v(12)
- output audio_pwm; // ../rtl/topmodule/CortexM0_SoC.v(18)
- output [3:0] row; // ../rtl/topmodule/CortexM0_SoC.v(22)
- output [7:0] seg; // ../rtl/topmodule/CortexM0_SoC.v(20)
- output [3:0] sel; // ../rtl/topmodule/CortexM0_SoC.v(19)
- inout SWDIO; // ../rtl/topmodule/CortexM0_SoC.v(9)
-
- parameter ADDR_WIDTH = 12;
- parameter FM_ADDR_WIDTH = 13;
- wire [3:0] \FMDATA_Interface/size_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(49)
- wire [31:0] FMDATA_RDATA; // ../rtl/topmodule/CortexM0_SoC.v(461)
- wire [12:0] FMDATA_WADDR; // ../rtl/topmodule/CortexM0_SoC.v(463)
- wire [3:0] FMDATA_WRITE; // ../rtl/topmodule/CortexM0_SoC.v(465)
- wire [3:0] FM_HW_state; // ../rtl/topmodule/CortexM0_SoC.v(578)
- wire [31:0] HADDR; // ../rtl/topmodule/CortexM0_SoC.v(64)
- wire [31:0] HRDATA; // ../rtl/topmodule/CortexM0_SoC.v(72)
- wire [2:0] HSIZE; // ../rtl/topmodule/CortexM0_SoC.v(68)
- wire [1:0] HTRANS; // ../rtl/topmodule/CortexM0_SoC.v(69)
- wire [31:0] HWDATA; // ../rtl/topmodule/CortexM0_SoC.v(70)
- wire [5:0] \Interconncet/SlaveMUX/hsel_reg ; // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(50)
- wire [7:0] LED_pad; // ../rtl/topmodule/CortexM0_SoC.v(11)
- wire [3:0] \RAMCODE_Interface/size_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(49)
- wire [31:0] RAMCODE_RDATA; // ../rtl/topmodule/CortexM0_SoC.v(369)
- wire [11:0] RAMCODE_WADDR; // ../rtl/topmodule/CortexM0_SoC.v(370)
- wire [3:0] RAMCODE_WRITE; // ../rtl/topmodule/CortexM0_SoC.v(372)
- wire [3:0] \RAMDATA_Interface/size_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(49)
- wire [31:0] RAMDATA_RDATA; // ../rtl/topmodule/CortexM0_SoC.v(428)
- wire [11:0] RAMDATA_WADDR; // ../rtl/topmodule/CortexM0_SoC.v(430)
- wire [3:0] RAMDATA_WRITE; // ../rtl/topmodule/CortexM0_SoC.v(432)
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di ;
- wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ;
- wire [4:0] \SPI_TX/FIFO_SPI/n17 ;
- wire [5:0] \SPI_TX/FIFO_SPI/n18 ;
- wire [4:0] \SPI_TX/FIFO_SPI/n5 ;
- wire [5:0] \SPI_TX/FIFO_SPI/n6 ;
- wire [4:0] \SPI_TX/FIFO_SPI/rp ; // ../rtl/peripherals/FIFO_SPI.v(19)
- wire [4:0] \SPI_TX/FIFO_SPI/wp ; // ../rtl/peripherals/FIFO_SPI.v(19)
- wire [24:0] \SPI_TX/FIFOdata ; // ../rtl/peripherals/SPI_TX.v(16)
- wire [13:0] \SPI_TX/counter ; // ../rtl/peripherals/SPI_TX.v(42)
- wire [13:0] \SPI_TX/n31 ;
- wire [13:0] \SPI_TX/n33 ;
- wire \SPI_TX/sel1/B11 ; // ../rtl/peripherals/SPI_TX.v(78)
- wire \SPI_TX/sel1/B16 ; // ../rtl/peripherals/SPI_TX.v(78)
- wire \SPI_TX/sel1/B19 ; // ../rtl/peripherals/SPI_TX.v(78)
- wire \SPI_TX/sel1/B7 ; // ../rtl/peripherals/SPI_TX.v(78)
- wire \SPI_TX/sel1/B9 ; // ../rtl/peripherals/SPI_TX.v(78)
- wire [23:0] SPI_TX_Data; // ../rtl/topmodule/CortexM0_SoC.v(524)
- wire [3:0] \UART_Interface/addr_reg ; // ../rtl/AHBsubordinate/AHBlite_UART.v(30)
- wire [3:0] \UART_RX/counter ; // ../rtl/peripherals/UART_RX.v(23)
- wire [3:0] \UART_RX/n9 ;
- wire [7:0] \UART_RX/shift_reg ; // ../rtl/peripherals/UART_RX.v(12)
- wire [7:0] UART_RX_data; // ../rtl/topmodule/CortexM0_SoC.v(496)
- wire [3:0] \UART_TX/FIFO/al_ram_mem_c0_di ;
- wire [3:0] \UART_TX/FIFO/al_ram_mem_c0_waddr ;
- wire [3:0] \UART_TX/FIFO/al_ram_mem_c1_di ;
- wire [3:0] \UART_TX/FIFO/al_ram_mem_c1_waddr ;
- wire [3:0] \UART_TX/FIFO/n17 ;
- wire [4:0] \UART_TX/FIFO/n18 ;
- wire [3:0] \UART_TX/FIFO/n5 ;
- wire [4:0] \UART_TX/FIFO/n6 ;
- wire [3:0] \UART_TX/FIFO/rp ; // ../rtl/peripherals/FIFO.v(17)
- wire [3:0] \UART_TX/FIFO/wp ; // ../rtl/peripherals/FIFO.v(17)
- wire [7:0] \UART_TX/FIFOdata ; // ../rtl/peripherals/UART_TX.v(15)
- wire [3:0] \UART_TX/counter ; // ../rtl/peripherals/UART_TX.v(36)
- wire [3:0] \UART_TX/n8 ;
- wire [7:0] UART_TX_data; // ../rtl/topmodule/CortexM0_SoC.v(497)
- wire [12:0] \clkuart_pwm/cnt ; // ../rtl/peripherals/clkuart_pwm.v(11)
- wire [12:0] \clkuart_pwm/n4 ;
- wire [12:0] \clkuart_pwm/n5 ;
- wire [3:0] col_pad; // ../rtl/topmodule/CortexM0_SoC.v(21)
- wire [19:0] \filter_unit/cnt ; // ../rtl/peripherals/KeyScan.v(35)
- wire [15:0] \filter_unit/key_reg0 ; // ../rtl/peripherals/KeyScan.v(46)
- wire [15:0] \filter_unit/key_reg1 ; // ../rtl/peripherals/KeyScan.v(47)
- wire [19:0] \filter_unit/n0 ;
- wire [19:0] \filter_unit/n1 ;
- wire [15:0] \filter_unit/n9 ;
- wire [15:0] key_in; // ../rtl/topmodule/CortexM0_SoC.v(48)
- wire [15:0] key_interrupt; // ../rtl/topmodule/CortexM0_SoC.v(47)
- wire [15:0] \pulse_gen_unit/key_reg_1 ; // ../rtl/peripherals/KeyScan.v(107)
- wire [15:0] \pulse_gen_unit/key_reg_2 ; // ../rtl/peripherals/KeyScan.v(108)
- wire [3:0] row_pad; // ../rtl/topmodule/CortexM0_SoC.v(22)
- wire [31:0] \scan_unit/cnt ; // ../rtl/peripherals/KeyScan.v(6)
- wire [15:0] \scan_unit/n13 ;
- wire [31:0] \scan_unit/n2 ;
- wire CDBGPWRUPACK; // ../rtl/topmodule/CortexM0_SoC.v(91)
- wire CDBGPWRUPREQ; // ../rtl/topmodule/CortexM0_SoC.v(90)
- wire CW_CLK_MSI; // ../rtl/topmodule/CortexM0_SoC.v(665)
- wire \FMDATA_Interface/n10 ;
- wire \FMDATA_Interface/n15 ;
- wire \FMDATA_Interface/sel0_b0_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
- wire \FMDATA_Interface/sel0_b1_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
- wire \FMDATA_Interface/sel0_b2_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
- wire \FMDATA_Interface/sel0_b3_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
- wire \FMDATA_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(63)
- wire HSEL_P0; // ../rtl/topmodule/CortexM0_SoC.v(170)
- wire HSEL_P1; // ../rtl/topmodule/CortexM0_SoC.v(184)
- wire HSEL_P2; // ../rtl/topmodule/CortexM0_SoC.v(198)
- wire HSEL_P3; // ../rtl/topmodule/CortexM0_SoC.v(212)
- wire HSEL_P4; // ../rtl/topmodule/CortexM0_SoC.v(226)
- wire HSEL_P5; // ../rtl/topmodule/CortexM0_SoC.v(240)
- wire HWRITE; // ../rtl/topmodule/CortexM0_SoC.v(71)
- wire \Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ; // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(65)
- wire MSI_CS_pad; // ../rtl/topmodule/CortexM0_SoC.v(16)
- wire MSI_REFCLK_pad; // ../rtl/topmodule/CortexM0_SoC.v(14)
- wire \MSI_REF_CLK/clk0_buf ; // al_ip/RF_REF_24M.v(37)
- wire MSI_SCLK_pad; // ../rtl/topmodule/CortexM0_SoC.v(17)
- wire MSI_SDATA_pad; // ../rtl/topmodule/CortexM0_SoC.v(15)
- wire \RAMCODE_Interface/n10 ;
- wire \RAMCODE_Interface/n15 ;
- wire \RAMCODE_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(63)
- wire \RAMDATA_Interface/n10 ;
- wire \RAMDATA_Interface/n15 ;
- wire \RAMDATA_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(63)
- wire RSSI_interrupt; // ../rtl/topmodule/CortexM0_SoC.v(46)
- wire RSTn_pad; // ../rtl/topmodule/CortexM0_SoC.v(8)
- wire RXD_pad; // ../rtl/topmodule/CortexM0_SoC.v(13)
- wire \SPI_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_SPI.v(41)
- wire \SPI_Interface/write_en ; // ../rtl/AHBsubordinate/AHBlite_SPI.v(25)
- wire \SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ; // ../rtl/peripherals/FIFO_SPI.v(36)
- wire \SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ; // ../rtl/peripherals/FIFO_SPI.v(52)
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_000 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_001 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_002 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_003 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_004 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_005 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_006 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_007 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_008 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_009 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_010 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_011 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_012 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_013 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_014 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_015 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_016 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_017 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_018 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_019 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_020 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_021 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_022 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_023 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_024 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_000 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_001 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_002 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_003 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_004 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_005 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_006 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_007 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_008 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_009 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_010 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_011 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_012 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_013 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_014 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_015 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_016 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_017 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_018 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_019 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_020 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_021 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_022 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_023 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_024 ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ;
- wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ;
- wire \SPI_TX/FIFO_SPI/n19 ;
- wire \SPI_TX/FIFO_SPI/n25_lutinv ;
- wire \SPI_TX/FIFO_SPI/n2_0 ;
- wire \SPI_TX/FIFO_SPI/n2_1 ;
- wire \SPI_TX/FIFO_SPI/n7 ;
- wire \SPI_TX/FIFO_SPI/r_flag ; // ../rtl/peripherals/FIFO_SPI.v(20)
- wire \SPI_TX/FIFO_SPI/u13_sel_is_3_o ;
- wire \SPI_TX/FIFO_SPI/u7_sel_is_3_o ;
- wire \SPI_TX/FIFO_SPI/w_flag ; // ../rtl/peripherals/FIFO_SPI.v(20)
- wire \SPI_TX/FIFOrd_en ; // ../rtl/peripherals/SPI_TX.v(14)
- wire \SPI_TX/FIFOwr_en ; // ../rtl/peripherals/SPI_TX.v(15)
- wire \SPI_TX/MSI_clk_en ; // ../rtl/peripherals/SPI_TX.v(67)
- wire \SPI_TX/add0/c1 ; // ../rtl/peripherals/SPI_TX.v(61)
- wire \SPI_TX/add0/c11 ; // ../rtl/peripherals/SPI_TX.v(61)
- wire \SPI_TX/add0/c13 ; // ../rtl/peripherals/SPI_TX.v(61)
- wire \SPI_TX/add0/c3 ; // ../rtl/peripherals/SPI_TX.v(61)
- wire \SPI_TX/add0/c5 ; // ../rtl/peripherals/SPI_TX.v(61)
- wire \SPI_TX/add0/c7 ; // ../rtl/peripherals/SPI_TX.v(61)
- wire \SPI_TX/add0/c9 ; // ../rtl/peripherals/SPI_TX.v(61)
- wire \SPI_TX/count_en ; // ../rtl/peripherals/SPI_TX.v(38)
- wire \SPI_TX/n104 ;
- wire \SPI_TX/n106 ;
- wire \SPI_TX/n109 ;
- wire \SPI_TX/n24 ;
- wire \SPI_TX/n77_lutinv ;
- wire \SPI_TX/trans_finish_lutinv ; // ../rtl/peripherals/SPI_TX.v(44)
- wire SWDIO_pad; // ../rtl/topmodule/CortexM0_SoC.v(9)
- wire SWDO; // ../rtl/topmodule/CortexM0_SoC.v(31)
- wire SWDOEN; // ../rtl/topmodule/CortexM0_SoC.v(32)
- wire SYSRESETREQ; // ../rtl/topmodule/CortexM0_SoC.v(81)
- wire TXD_pad; // ../rtl/topmodule/CortexM0_SoC.v(12)
- wire \UART_Interface/n5 ;
- wire \UART_Interface/rd_en_reg ; // ../rtl/AHBsubordinate/AHBlite_UART.v(36)
- wire \UART_Interface/read_en ; // ../rtl/AHBsubordinate/AHBlite_UART.v(24)
- wire \UART_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_UART.v(43)
- wire \UART_Interface/write_en ; // ../rtl/AHBsubordinate/AHBlite_UART.v(27)
- wire \UART_RX/mux5_b0_sel_is_3_o ;
- wire \UART_RX/mux5_b1_sel_is_3_o ;
- wire \UART_RX/mux5_b2_sel_is_3_o ;
- wire \UART_RX/mux5_b3_sel_is_3_o ;
- wire \UART_RX/mux5_b4_sel_is_3_o ;
- wire \UART_RX/mux5_b5_sel_is_3_o ;
- wire \UART_RX/mux5_b6_sel_is_3_o ;
- wire \UART_RX/mux5_b7_sel_is_3_o ;
- wire \UART_RX/n6 ;
- wire \UART_TX/FIFO/al_ram_mem_c0_mode ;
- wire \UART_TX/FIFO/al_ram_mem_c0_wclk ;
- wire \UART_TX/FIFO/al_ram_mem_c0_we ;
- wire \UART_TX/FIFO/al_ram_mem_c1_mode ;
- wire \UART_TX/FIFO/al_ram_mem_c1_wclk ;
- wire \UART_TX/FIFO/al_ram_mem_c1_we ;
- wire \UART_TX/FIFO/n19 ;
- wire \UART_TX/FIFO/n25_lutinv ;
- wire \UART_TX/FIFO/n7 ;
- wire \UART_TX/FIFO/r_flag ; // ../rtl/peripherals/FIFO.v(18)
- wire \UART_TX/FIFO/u13_sel_is_3_o ;
- wire \UART_TX/FIFO/u7_sel_is_3_o ;
- wire \UART_TX/FIFO/w_flag ; // ../rtl/peripherals/FIFO.v(18)
- wire \UART_TX/FIFOrd_en ; // ../rtl/peripherals/UART_TX.v(13)
- wire \UART_TX/FIFOwr_en ; // ../rtl/peripherals/UART_TX.v(14)
- wire \UART_TX/n11 ;
- wire \UART_TX/n14 ;
- wire \UART_TX/n4 ;
- wire \UART_TX/trans_finish_lutinv ; // ../rtl/peripherals/UART_TX.v(38)
- wire _al_u159_o;
- wire _al_u160_o;
- wire _al_u165_o;
- wire _al_u196_o;
- wire _al_u197_o;
- wire _al_u198_o;
- wire _al_u199_o;
- wire _al_u200_o;
- wire _al_u201_o;
- wire _al_u204_o;
- wire _al_u207_o;
- wire _al_u214_o;
- wire _al_u215_o;
- wire _al_u222_o;
- wire _al_u228_o;
- wire _al_u229_o;
- wire _al_u230_o;
- wire _al_u232_o;
- wire _al_u234_o;
- wire _al_u236_o;
- wire _al_u238_o;
- wire _al_u239_o;
- wire _al_u241_o;
- wire _al_u242_o;
- wire _al_u244_o;
- wire _al_u246_o;
- wire _al_u247_o;
- wire _al_u249_o;
- wire _al_u251_o;
- wire _al_u273_o;
- wire _al_u276_o;
- wire _al_u277_o;
- wire _al_u278_o;
- wire _al_u279_o;
- wire _al_u280_o;
- wire _al_u287_o;
- wire _al_u288_o;
- wire _al_u289_o;
- wire _al_u291_o;
- wire _al_u292_o;
- wire _al_u293_o;
- wire _al_u294_o;
- wire _al_u295_o;
- wire _al_u296_o;
- wire _al_u298_o;
- wire _al_u299_o;
- wire _al_u300_o;
- wire _al_u316_o;
- wire _al_u317_o;
- wire _al_u319_o;
- wire _al_u323_o;
- wire _al_u325_o;
- wire _al_u329_o;
- wire _al_u331_o;
- wire _al_u333_o;
- wire _al_u335_o;
- wire _al_u336_o;
- wire _al_u337_o;
- wire _al_u338_o;
- wire _al_u339_o;
- wire _al_u341_o;
- wire _al_u342_o;
- wire _al_u343_o;
- wire _al_u344_o;
- wire _al_u345_o;
- wire _al_u346_o;
- wire _al_u347_o;
- wire _al_u348_o;
- wire _al_u349_o;
- wire _al_u351_o;
- wire _al_u354_o;
- wire _al_u355_o;
- wire _al_u357_o;
- wire _al_u360_o;
- wire _al_u361_o;
- wire _al_u386_o;
- wire _al_u387_o;
- wire _al_u388_o;
- wire _al_u389_o;
- wire _al_u390_o;
- wire _al_u391_o;
- wire _al_u392_o;
- wire _al_u396_o;
- wire _al_u405_o;
- wire _al_u409_o;
- wire _al_u410_o;
- wire _al_u411_o;
- wire _al_u412_o;
- wire _al_u413_o;
- wire _al_u415_o;
- wire _al_u416_o;
- wire _al_u418_o;
- wire _al_u419_o;
- wire _al_u421_o;
- wire _al_u422_o;
- wire _al_u424_o;
- wire _al_u425_o;
- wire _al_u427_o;
- wire _al_u428_o;
- wire _al_u430_o;
- wire _al_u431_o;
- wire _al_u437_o;
- wire _al_u438_o;
- wire _al_u439_o;
- wire _al_u440_o;
- wire _al_u441_o;
- wire _al_u442_o;
- wire _al_u443_o;
- wire _al_u444_o;
- wire _al_u445_o;
- wire _al_u446_o;
- wire _al_u448_o;
- wire _al_u449_o;
- wire _al_u450_o;
- wire _al_u452_o;
- wire _al_u453_o;
- wire _al_u454_o;
- wire _al_u455_o;
- wire _al_u456_o;
- wire _al_u457_o;
- wire _al_u458_o;
- wire _al_u459_o;
- wire _al_u460_o;
- wire _al_u461_o;
- wire _al_u462_o;
- wire _al_u463_o;
- wire _al_u464_o;
- wire _al_u465_o;
- wire _al_u466_o;
- wire _al_u467_o;
- wire _al_u468_o;
- wire _al_u469_o;
- wire _al_u470_o;
- wire _al_u471_o;
- wire _al_u472_o;
- wire _al_u473_o;
- wire _al_u474_o;
- wire _al_u475_o;
- wire _al_u476_o;
- wire _al_u477_o;
- wire _al_u478_o;
- wire _al_u479_o;
- wire _al_u480_o;
- wire _al_u481_o;
- wire _al_u482_o;
- wire _al_u483_o;
- wire _al_u484_o;
- wire _al_u485_o;
- wire _al_u486_o;
- wire _al_u487_o;
- wire _al_u488_o;
- wire _al_u489_o;
- wire _al_u491_o;
- wire _al_u493_o;
- wire _al_u499_o;
- wire _al_u500_o;
- wire _al_u503_o;
- wire _al_u504_o;
- wire audio_pwm_pad; // ../rtl/topmodule/CortexM0_SoC.v(18)
- wire bps_en_rx; // ../rtl/topmodule/CortexM0_SoC.v(632)
- wire bps_en_tx; // ../rtl/topmodule/CortexM0_SoC.v(632)
- wire clk_pad; // ../rtl/topmodule/CortexM0_SoC.v(7)
- wire clk_uart; // ../rtl/topmodule/CortexM0_SoC.v(630)
- wire \clkuart_pwm/add0/c1 ; // ../rtl/peripherals/clkuart_pwm.v(16)
- wire \clkuart_pwm/add0/c11 ; // ../rtl/peripherals/clkuart_pwm.v(16)
- wire \clkuart_pwm/add0/c3 ; // ../rtl/peripherals/clkuart_pwm.v(16)
- wire \clkuart_pwm/add0/c5 ; // ../rtl/peripherals/clkuart_pwm.v(16)
- wire \clkuart_pwm/add0/c7 ; // ../rtl/peripherals/clkuart_pwm.v(16)
- wire \clkuart_pwm/add0/c9 ; // ../rtl/peripherals/clkuart_pwm.v(16)
- wire \clkuart_pwm/lt0_c1 ;
- wire \clkuart_pwm/lt0_c11 ;
- wire \clkuart_pwm/lt0_c13 ;
- wire \clkuart_pwm/lt0_c3 ;
- wire \clkuart_pwm/lt0_c5 ;
- wire \clkuart_pwm/lt0_c7 ;
- wire \clkuart_pwm/lt0_c9 ;
- wire \clkuart_pwm/n1 ;
- wire \clkuart_pwm/n6 ;
- wire cpuresetn; // ../rtl/topmodule/CortexM0_SoC.v(82)
- wire \filter_unit/add0/c11 ; // ../rtl/peripherals/KeyScan.v(43)
- wire \filter_unit/add0/c15 ; // ../rtl/peripherals/KeyScan.v(43)
- wire \filter_unit/add0/c19 ; // ../rtl/peripherals/KeyScan.v(43)
- wire \filter_unit/add0/c3 ; // ../rtl/peripherals/KeyScan.v(43)
- wire \filter_unit/add0/c7 ; // ../rtl/peripherals/KeyScan.v(43)
- wire \filter_unit/n3 ;
- wire interrupt_IQ_done; // ../rtl/topmodule/CortexM0_SoC.v(44)
- wire interrupt_UART; // ../rtl/topmodule/CortexM0_SoC.v(43)
- wire n1;
- wire \scan_unit/add0/c11 ; // ../rtl/peripherals/KeyScan.v(14)
- wire \scan_unit/add0/c15 ; // ../rtl/peripherals/KeyScan.v(14)
- wire \scan_unit/add0/c19 ; // ../rtl/peripherals/KeyScan.v(14)
- wire \scan_unit/add0/c23 ; // ../rtl/peripherals/KeyScan.v(14)
- wire \scan_unit/add0/c27 ; // ../rtl/peripherals/KeyScan.v(14)
- wire \scan_unit/add0/c3 ; // ../rtl/peripherals/KeyScan.v(14)
- wire \scan_unit/add0/c31 ; // ../rtl/peripherals/KeyScan.v(14)
- wire \scan_unit/add0/c7 ; // ../rtl/peripherals/KeyScan.v(14)
- wire \scan_unit/n0 ;
- wire \scan_unit/n1 ;
- wire \scan_unit/scan_clk ; // ../rtl/peripherals/KeyScan.v(7)
-
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- CDBGPWRUPACK_reg (
- .clk(clk_pad),
- .d(CDBGPWRUPREQ),
- .sr(RSTn_pad),
- .q(CDBGPWRUPACK)); // ../rtl/topmodule/CortexM0_SoC.v(94)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg0_b0 (
- .ce(\FMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[2]),
- .sr(cpuresetn),
- .q(FMDATA_WADDR[0])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg0_b1 (
- .ce(\FMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[3]),
- .sr(cpuresetn),
- .q(FMDATA_WADDR[1])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg0_b10 (
- .ce(\FMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[12]),
- .sr(cpuresetn),
- .q(FMDATA_WADDR[10])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg0_b11 (
- .ce(\FMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[13]),
- .sr(cpuresetn),
- .q(FMDATA_WADDR[11])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg0_b12 (
- .ce(\FMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[14]),
- .sr(cpuresetn),
- .q(FMDATA_WADDR[12])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg0_b2 (
- .ce(\FMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[4]),
- .sr(cpuresetn),
- .q(FMDATA_WADDR[2])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg0_b3 (
- .ce(\FMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[5]),
- .sr(cpuresetn),
- .q(FMDATA_WADDR[3])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg0_b4 (
- .ce(\FMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[6]),
- .sr(cpuresetn),
- .q(FMDATA_WADDR[4])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg0_b5 (
- .ce(\FMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[7]),
- .sr(cpuresetn),
- .q(FMDATA_WADDR[5])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg0_b6 (
- .ce(\FMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[8]),
- .sr(cpuresetn),
- .q(FMDATA_WADDR[6])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg0_b7 (
- .ce(\FMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[9]),
- .sr(cpuresetn),
- .q(FMDATA_WADDR[7])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg0_b8 (
- .ce(\FMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[10]),
- .sr(cpuresetn),
- .q(FMDATA_WADDR[8])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg0_b9 (
- .ce(\FMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[11]),
- .sr(cpuresetn),
- .q(FMDATA_WADDR[9])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg1_b0 (
- .ce(\FMDATA_Interface/n10 ),
- .clk(clk_pad),
- .d(\FMDATA_Interface/sel0_b0_sel_o ),
- .sr(cpuresetn),
- .q(\FMDATA_Interface/size_reg [0])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg1_b1 (
- .ce(\FMDATA_Interface/n10 ),
- .clk(clk_pad),
- .d(\FMDATA_Interface/sel0_b1_sel_o ),
- .sr(cpuresetn),
- .q(\FMDATA_Interface/size_reg [1])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg1_b2 (
- .ce(\FMDATA_Interface/n10 ),
- .clk(clk_pad),
- .d(\FMDATA_Interface/sel0_b2_sel_o ),
- .sr(cpuresetn),
- .q(\FMDATA_Interface/size_reg [2])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/reg1_b3 (
- .ce(\FMDATA_Interface/n10 ),
- .clk(clk_pad),
- .d(\FMDATA_Interface/sel0_b3_sel_o ),
- .sr(cpuresetn),
- .q(\FMDATA_Interface/size_reg [3])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FMDATA_Interface/wr_en_reg_reg (
- .clk(clk_pad),
- .d(\FMDATA_Interface/n10 ),
- .sr(cpuresetn),
- .q(\FMDATA_Interface/wr_en_reg )); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(66)
- FM_Display FM_Display (
- .FM_HW_state({FM_HW_state[3:1],1'b0}),
- .RSTn(RSTn_pad),
- .clk(clk_pad),
- .rdaddr(13'b0000000000000),
- .wdata(HWDATA),
- .wea(FMDATA_WRITE),
- .wraddr(FMDATA_WADDR),
- .seg(seg),
- .sel(sel)); // ../rtl/topmodule/CortexM0_SoC.v(598)
- FM_HW FM_HW (
- .ADC_start(1'b1),
- .RSTn(RSTn_pad),
- .clk(clk_pad),
- .rdaddr(HADDR[14:2]),
- .wdata(HWDATA),
- .wea(FMDATA_WRITE),
- .wraddr(FMDATA_WADDR),
- .FM_HW_state({FM_HW_state[3:1],open_n3}),
- .IQ_Write_Done_interrupt(interrupt_IQ_done),
- .LED_Out(LED_pad),
- .RSSI_interrupt(RSSI_interrupt),
- .audio_pwm(audio_pwm_pad),
- .rdata({open_n4,open_n5,open_n6,open_n7,open_n8,open_n9,open_n10,open_n11,open_n12,open_n13,open_n14,open_n15,open_n16,open_n17,open_n18,FMDATA_RDATA[16:0]})); // ../rtl/topmodule/CortexM0_SoC.v(580)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Interconncet/SlaveMUX/reg0_b0 (
- .ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
- .clk(clk_pad),
- .d(HSEL_P5),
- .sr(cpuresetn),
- .q(\Interconncet/SlaveMUX/hsel_reg [0])); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Interconncet/SlaveMUX/reg0_b1 (
- .ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
- .clk(clk_pad),
- .d(HSEL_P4),
- .sr(cpuresetn),
- .q(\Interconncet/SlaveMUX/hsel_reg [1])); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Interconncet/SlaveMUX/reg0_b2 (
- .ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
- .clk(clk_pad),
- .d(HSEL_P3),
- .sr(cpuresetn),
- .q(\Interconncet/SlaveMUX/hsel_reg [2])); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Interconncet/SlaveMUX/reg0_b3 (
- .ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
- .clk(clk_pad),
- .d(HSEL_P2),
- .sr(cpuresetn),
- .q(\Interconncet/SlaveMUX/hsel_reg [3])); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Interconncet/SlaveMUX/reg0_b4 (
- .ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
- .clk(clk_pad),
- .d(HSEL_P1),
- .sr(cpuresetn),
- .q(\Interconncet/SlaveMUX/hsel_reg [4])); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Interconncet/SlaveMUX/reg0_b5 (
- .ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
- .clk(clk_pad),
- .d(HSEL_P0),
- .sr(cpuresetn),
- .q(\Interconncet/SlaveMUX/hsel_reg [5])); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
- EG_PHY_GCLK \MSI_REF_CLK/bufg_feedback (
- .clki(\MSI_REF_CLK/clk0_buf ),
- .clko(CW_CLK_MSI)); // al_ip/RF_REF_24M.v(39)
- EG_PHY_PLL #(
- .CLKC0_CPHASE(11),
- .CLKC0_DIV(12),
- .CLKC0_DIV2_ENABLE("DISABLE"),
- .CLKC0_ENABLE("ENABLE"),
- .CLKC0_FPHASE(0),
- .CLKC1_CPHASE(1),
- .CLKC1_DIV(1),
- .CLKC1_DIV2_ENABLE("DISABLE"),
- .CLKC1_ENABLE("DISABLE"),
- .CLKC1_FPHASE(0),
- .CLKC2_CPHASE(1),
- .CLKC2_DIV(1),
- .CLKC2_DIV2_ENABLE("DISABLE"),
- .CLKC2_ENABLE("DISABLE"),
- .CLKC2_FPHASE(0),
- .CLKC3_CPHASE(49),
- .CLKC3_DIV(50),
- .CLKC3_DIV2_ENABLE("DISABLE"),
- .CLKC3_ENABLE("ENABLE"),
- .CLKC3_FPHASE(0),
- .CLKC4_CPHASE(1),
- .CLKC4_DIV(1),
- .CLKC4_DIV2_ENABLE("DISABLE"),
- .CLKC4_ENABLE("DISABLE"),
- .CLKC4_FPHASE(0),
- .DERIVE_PLL_CLOCKS("DISABLE"),
- .DPHASE_SOURCE("DISABLE"),
- .DYNCFG("DISABLE"),
- .FBCLK_DIV(2),
- .FEEDBK_MODE("NORMAL"),
- .FEEDBK_PATH("CLKC0_EXT"),
- .FIN("50.000"),
- .FREQ_LOCK_ACCURACY(2),
- .GEN_BASIC_CLOCK("DISABLE"),
- .GMC_GAIN(4),
- .GMC_TEST(14),
- .ICP_CURRENT(13),
- .IF_ESCLKSTSW("DISABLE"),
- .INTFB_WAKE("DISABLE"),
- .KVCO(4),
- .LPF_CAPACITOR(1),
- .LPF_RESISTOR(4),
- .NORESET("DISABLE"),
- .ODIV_MUXC0("DIV"),
- .ODIV_MUXC1("DIV"),
- .ODIV_MUXC2("DIV"),
- .ODIV_MUXC3("DIV"),
- .ODIV_MUXC4("DIV"),
- .PLLC2RST_ENA("DISABLE"),
- .PLLC34RST_ENA("DISABLE"),
- .PLLMRST_ENA("DISABLE"),
- .PLLRST_ENA("ENABLE"),
- .PLL_LOCK_MODE(0),
- .PREDIV_MUXC0("VCO"),
- .PREDIV_MUXC1("VCO"),
- .PREDIV_MUXC2("VCO"),
- .PREDIV_MUXC3("VCO"),
- .PREDIV_MUXC4("VCO"),
- .REFCLK_DIV(1),
- .REFCLK_SEL("INTERNAL"),
- .STDBY_ENABLE("ENABLE"),
- .STDBY_VCO_ENA("DISABLE"),
- .SYNC_ENABLE("DISABLE"),
- .VCO_NORESET("DISABLE"))
- \MSI_REF_CLK/pll_inst (
- .daddr(6'b000000),
- .dclk(1'b0),
- .dcs(1'b0),
- .di(8'b00000000),
- .dwe(1'b0),
- .fbclk(CW_CLK_MSI),
- .load_reg(1'b0),
- .psclk(1'b0),
- .psclksel(3'b000),
- .psdown(1'b0),
- .psstep(1'b0),
- .refclk(clk_pad),
- .reset(1'b0),
- .stdby(1'b0),
- .clkc({open_n19,MSI_REFCLK_pad,open_n20,open_n21,\MSI_REF_CLK/clk0_buf })); // al_ip/RF_REF_24M.v(66)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg0_b0 (
- .ce(\RAMCODE_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[2]),
- .sr(cpuresetn),
- .q(RAMCODE_WADDR[0])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg0_b1 (
- .ce(\RAMCODE_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[3]),
- .sr(cpuresetn),
- .q(RAMCODE_WADDR[1])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg0_b10 (
- .ce(\RAMCODE_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[12]),
- .sr(cpuresetn),
- .q(RAMCODE_WADDR[10])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg0_b11 (
- .ce(\RAMCODE_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[13]),
- .sr(cpuresetn),
- .q(RAMCODE_WADDR[11])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg0_b2 (
- .ce(\RAMCODE_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[4]),
- .sr(cpuresetn),
- .q(RAMCODE_WADDR[2])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg0_b3 (
- .ce(\RAMCODE_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[5]),
- .sr(cpuresetn),
- .q(RAMCODE_WADDR[3])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg0_b4 (
- .ce(\RAMCODE_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[6]),
- .sr(cpuresetn),
- .q(RAMCODE_WADDR[4])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg0_b5 (
- .ce(\RAMCODE_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[7]),
- .sr(cpuresetn),
- .q(RAMCODE_WADDR[5])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg0_b6 (
- .ce(\RAMCODE_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[8]),
- .sr(cpuresetn),
- .q(RAMCODE_WADDR[6])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg0_b7 (
- .ce(\RAMCODE_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[9]),
- .sr(cpuresetn),
- .q(RAMCODE_WADDR[7])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg0_b8 (
- .ce(\RAMCODE_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[10]),
- .sr(cpuresetn),
- .q(RAMCODE_WADDR[8])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg0_b9 (
- .ce(\RAMCODE_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[11]),
- .sr(cpuresetn),
- .q(RAMCODE_WADDR[9])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg1_b0 (
- .ce(\RAMCODE_Interface/n10 ),
- .clk(clk_pad),
- .d(\FMDATA_Interface/sel0_b0_sel_o ),
- .sr(cpuresetn),
- .q(\RAMCODE_Interface/size_reg [0])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg1_b1 (
- .ce(\RAMCODE_Interface/n10 ),
- .clk(clk_pad),
- .d(\FMDATA_Interface/sel0_b1_sel_o ),
- .sr(cpuresetn),
- .q(\RAMCODE_Interface/size_reg [1])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg1_b2 (
- .ce(\RAMCODE_Interface/n10 ),
- .clk(clk_pad),
- .d(\FMDATA_Interface/sel0_b2_sel_o ),
- .sr(cpuresetn),
- .q(\RAMCODE_Interface/size_reg [2])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/reg1_b3 (
- .ce(\RAMCODE_Interface/n10 ),
- .clk(clk_pad),
- .d(\FMDATA_Interface/sel0_b3_sel_o ),
- .sr(cpuresetn),
- .q(\RAMCODE_Interface/size_reg [3])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMCODE_Interface/wr_en_reg_reg (
- .clk(clk_pad),
- .d(\RAMCODE_Interface/n10 ),
- .sr(cpuresetn),
- .q(\RAMCODE_Interface/wr_en_reg )); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(66)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg0_b0 (
- .ce(\RAMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[2]),
- .sr(cpuresetn),
- .q(RAMDATA_WADDR[0])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg0_b1 (
- .ce(\RAMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[3]),
- .sr(cpuresetn),
- .q(RAMDATA_WADDR[1])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg0_b10 (
- .ce(\RAMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[12]),
- .sr(cpuresetn),
- .q(RAMDATA_WADDR[10])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg0_b11 (
- .ce(\RAMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[13]),
- .sr(cpuresetn),
- .q(RAMDATA_WADDR[11])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg0_b2 (
- .ce(\RAMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[4]),
- .sr(cpuresetn),
- .q(RAMDATA_WADDR[2])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg0_b3 (
- .ce(\RAMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[5]),
- .sr(cpuresetn),
- .q(RAMDATA_WADDR[3])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg0_b4 (
- .ce(\RAMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[6]),
- .sr(cpuresetn),
- .q(RAMDATA_WADDR[4])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg0_b5 (
- .ce(\RAMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[7]),
- .sr(cpuresetn),
- .q(RAMDATA_WADDR[5])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg0_b6 (
- .ce(\RAMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[8]),
- .sr(cpuresetn),
- .q(RAMDATA_WADDR[6])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg0_b7 (
- .ce(\RAMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[9]),
- .sr(cpuresetn),
- .q(RAMDATA_WADDR[7])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg0_b8 (
- .ce(\RAMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[10]),
- .sr(cpuresetn),
- .q(RAMDATA_WADDR[8])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg0_b9 (
- .ce(\RAMDATA_Interface/n15 ),
- .clk(clk_pad),
- .d(HADDR[11]),
- .sr(cpuresetn),
- .q(RAMDATA_WADDR[9])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg1_b0 (
- .ce(\RAMDATA_Interface/n10 ),
- .clk(clk_pad),
- .d(\FMDATA_Interface/sel0_b0_sel_o ),
- .sr(cpuresetn),
- .q(\RAMDATA_Interface/size_reg [0])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg1_b1 (
- .ce(\RAMDATA_Interface/n10 ),
- .clk(clk_pad),
- .d(\FMDATA_Interface/sel0_b1_sel_o ),
- .sr(cpuresetn),
- .q(\RAMDATA_Interface/size_reg [1])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg1_b2 (
- .ce(\RAMDATA_Interface/n10 ),
- .clk(clk_pad),
- .d(\FMDATA_Interface/sel0_b2_sel_o ),
- .sr(cpuresetn),
- .q(\RAMDATA_Interface/size_reg [2])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/reg1_b3 (
- .ce(\RAMDATA_Interface/n10 ),
- .clk(clk_pad),
- .d(\FMDATA_Interface/sel0_b3_sel_o ),
- .sr(cpuresetn),
- .q(\RAMDATA_Interface/size_reg [3])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \RAMDATA_Interface/wr_en_reg_reg (
- .clk(clk_pad),
- .d(\RAMDATA_Interface/n10 ),
- .sr(cpuresetn),
- .q(\RAMDATA_Interface/wr_en_reg )); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(66)
- // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0004"),
- //.WID("0x0004"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
- .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
- .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
- .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
- .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
- .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
- .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
- .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
- .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
- .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
- .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
- .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
- .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
- .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
- .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
- .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
- .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
- .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
- .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
- .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
- .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_000 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n42,open_n43,open_n44,HWDATA[1],open_n45,open_n46,HWDATA[0],open_n47,open_n48}),
- .wea(RAMCODE_WRITE[0]),
- .dob({open_n72,open_n73,open_n74,open_n75,open_n76,open_n77,open_n78,RAMCODE_RDATA[1:0]}));
- // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0005"),
- //.WID("0x0005"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
- .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
- .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
- .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
- .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
- .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
- .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
- .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
- .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
- .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
- .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
- .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
- .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
- .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
- .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
- .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
- .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
- .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
- .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
- .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
- .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_002 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n87,open_n88,open_n89,HWDATA[3],open_n90,open_n91,HWDATA[2],open_n92,open_n93}),
- .wea(RAMCODE_WRITE[0]),
- .dob({open_n117,open_n118,open_n119,open_n120,open_n121,open_n122,open_n123,RAMCODE_RDATA[3:2]}));
- // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0006"),
- //.WID("0x0006"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
- .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
- .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
- .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
- .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
- .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
- .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
- .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
- .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
- .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
- .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
- .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
- .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
- .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
- .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
- .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
- .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
- .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
- .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
- .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
- .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_004 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n132,open_n133,open_n134,HWDATA[5],open_n135,open_n136,HWDATA[4],open_n137,open_n138}),
- .wea(RAMCODE_WRITE[0]),
- .dob({open_n162,open_n163,open_n164,open_n165,open_n166,open_n167,open_n168,RAMCODE_RDATA[5:4]}));
- // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0007"),
- //.WID("0x0007"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
- .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
- .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
- .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
- .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
- .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
- .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
- .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
- .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
- .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
- .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
- .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
- .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
- .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
- .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
- .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
- .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
- .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
- .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
- .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
- .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_006 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n177,open_n178,open_n179,HWDATA[7],open_n180,open_n181,HWDATA[6],open_n182,open_n183}),
- .wea(RAMCODE_WRITE[0]),
- .dob({open_n207,open_n208,open_n209,open_n210,open_n211,open_n212,open_n213,RAMCODE_RDATA[7:6]}));
- // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0008"),
- //.WID("0x0008"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
- .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
- .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
- .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
- .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
- .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
- .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
- .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
- .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
- .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
- .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
- .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
- .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
- .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
- .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
- .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
- .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
- .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
- .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
- .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
- .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_000 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n222,open_n223,open_n224,HWDATA[9],open_n225,open_n226,HWDATA[8],open_n227,open_n228}),
- .wea(RAMCODE_WRITE[1]),
- .dob({open_n252,open_n253,open_n254,open_n255,open_n256,open_n257,open_n258,RAMCODE_RDATA[9:8]}));
- // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0009"),
- //.WID("0x0009"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
- .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
- .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
- .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
- .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
- .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
- .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
- .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
- .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
- .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
- .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
- .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
- .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
- .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
- .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
- .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
- .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
- .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
- .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
- .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
- .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_002 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n267,open_n268,open_n269,HWDATA[11],open_n270,open_n271,HWDATA[10],open_n272,open_n273}),
- .wea(RAMCODE_WRITE[1]),
- .dob({open_n297,open_n298,open_n299,open_n300,open_n301,open_n302,open_n303,RAMCODE_RDATA[11:10]}));
- // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x000A"),
- //.WID("0x000A"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
- .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
- .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
- .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
- .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
- .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
- .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
- .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
- .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
- .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
- .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
- .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
- .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
- .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
- .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
- .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
- .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
- .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
- .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
- .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
- .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_004 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n312,open_n313,open_n314,HWDATA[13],open_n315,open_n316,HWDATA[12],open_n317,open_n318}),
- .wea(RAMCODE_WRITE[1]),
- .dob({open_n342,open_n343,open_n344,open_n345,open_n346,open_n347,open_n348,RAMCODE_RDATA[13:12]}));
- // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x000B"),
- //.WID("0x000B"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
- .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
- .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
- .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
- .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
- .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
- .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
- .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
- .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
- .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
- .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
- .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
- .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
- .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
- .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
- .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
- .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
- .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
- .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
- .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
- .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_006 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n357,open_n358,open_n359,HWDATA[15],open_n360,open_n361,HWDATA[14],open_n362,open_n363}),
- .wea(RAMCODE_WRITE[1]),
- .dob({open_n387,open_n388,open_n389,open_n390,open_n391,open_n392,open_n393,RAMCODE_RDATA[15:14]}));
- // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x000C"),
- //.WID("0x000C"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
- .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
- .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
- .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
- .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
- .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
- .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
- .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
- .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
- .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
- .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
- .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
- .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
- .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
- .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
- .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
- .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
- .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
- .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
- .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
- .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_000 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n402,open_n403,open_n404,HWDATA[17],open_n405,open_n406,HWDATA[16],open_n407,open_n408}),
- .wea(RAMCODE_WRITE[2]),
- .dob({open_n432,open_n433,open_n434,open_n435,open_n436,open_n437,open_n438,RAMCODE_RDATA[17:16]}));
- // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x000D"),
- //.WID("0x000D"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
- .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
- .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
- .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
- .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
- .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
- .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
- .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
- .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
- .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
- .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
- .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
- .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
- .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
- .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
- .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
- .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
- .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
- .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
- .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
- .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_002 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n447,open_n448,open_n449,HWDATA[19],open_n450,open_n451,HWDATA[18],open_n452,open_n453}),
- .wea(RAMCODE_WRITE[2]),
- .dob({open_n477,open_n478,open_n479,open_n480,open_n481,open_n482,open_n483,RAMCODE_RDATA[19:18]}));
- // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x000E"),
- //.WID("0x000E"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
- .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
- .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
- .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
- .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
- .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
- .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
- .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
- .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
- .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
- .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
- .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
- .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
- .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
- .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
- .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
- .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
- .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
- .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
- .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
- .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_004 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n492,open_n493,open_n494,HWDATA[21],open_n495,open_n496,HWDATA[20],open_n497,open_n498}),
- .wea(RAMCODE_WRITE[2]),
- .dob({open_n522,open_n523,open_n524,open_n525,open_n526,open_n527,open_n528,RAMCODE_RDATA[21:20]}));
- // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x000F"),
- //.WID("0x000F"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
- .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
- .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
- .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
- .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
- .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
- .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
- .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
- .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
- .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
- .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
- .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
- .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
- .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
- .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
- .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
- .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
- .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
- .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
- .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
- .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_006 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n537,open_n538,open_n539,HWDATA[23],open_n540,open_n541,HWDATA[22],open_n542,open_n543}),
- .wea(RAMCODE_WRITE[2]),
- .dob({open_n567,open_n568,open_n569,open_n570,open_n571,open_n572,open_n573,RAMCODE_RDATA[23:22]}));
- // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0010"),
- //.WID("0x0010"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
- .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
- .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
- .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
- .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
- .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
- .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
- .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
- .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
- .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
- .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
- .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
- .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
- .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
- .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
- .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
- .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
- .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
- .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
- .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
- .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_000 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n582,open_n583,open_n584,HWDATA[25],open_n585,open_n586,HWDATA[24],open_n587,open_n588}),
- .wea(RAMCODE_WRITE[3]),
- .dob({open_n612,open_n613,open_n614,open_n615,open_n616,open_n617,open_n618,RAMCODE_RDATA[25:24]}));
- // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0011"),
- //.WID("0x0011"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
- .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
- .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
- .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
- .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
- .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
- .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
- .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
- .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
- .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
- .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
- .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
- .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
- .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
- .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
- .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
- .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
- .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
- .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
- .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
- .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_002 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n627,open_n628,open_n629,HWDATA[27],open_n630,open_n631,HWDATA[26],open_n632,open_n633}),
- .wea(RAMCODE_WRITE[3]),
- .dob({open_n657,open_n658,open_n659,open_n660,open_n661,open_n662,open_n663,RAMCODE_RDATA[27:26]}));
- // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0012"),
- //.WID("0x0012"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
- .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
- .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
- .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
- .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
- .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
- .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
- .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
- .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
- .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
- .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
- .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
- .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
- .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
- .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
- .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
- .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
- .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
- .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
- .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
- .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_004 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n672,open_n673,open_n674,HWDATA[29],open_n675,open_n676,HWDATA[28],open_n677,open_n678}),
- .wea(RAMCODE_WRITE[3]),
- .dob({open_n702,open_n703,open_n704,open_n705,open_n706,open_n707,open_n708,RAMCODE_RDATA[29:28]}));
- // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0013"),
- //.WID("0x0013"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
- .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
- .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
- .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
- .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
- .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
- .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
- .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
- .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
- .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
- .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
- .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
- .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
- .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
- .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
- .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
- .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
- .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
- .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
- .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
- .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_006 (
- .addra({RAMCODE_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n717,open_n718,open_n719,HWDATA[31],open_n720,open_n721,HWDATA[30],open_n722,open_n723}),
- .wea(RAMCODE_WRITE[3]),
- .dob({open_n747,open_n748,open_n749,open_n750,open_n751,open_n752,open_n753,RAMCODE_RDATA[31:30]}));
- // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0014"),
- //.WID("0x0014"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
- .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
- .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
- .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
- .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
- .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
- .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
- .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
- .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
- .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
- .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
- .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
- .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
- .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
- .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
- .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
- .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
- .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
- .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
- .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
- .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_000 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n762,open_n763,open_n764,HWDATA[1],open_n765,open_n766,HWDATA[0],open_n767,open_n768}),
- .wea(RAMDATA_WRITE[0]),
- .dob({open_n792,open_n793,open_n794,open_n795,open_n796,open_n797,open_n798,RAMDATA_RDATA[1:0]}));
- // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0015"),
- //.WID("0x0015"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
- .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
- .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
- .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
- .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
- .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
- .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
- .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
- .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
- .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
- .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
- .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
- .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
- .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
- .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
- .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
- .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
- .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
- .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
- .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
- .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_002 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n807,open_n808,open_n809,HWDATA[3],open_n810,open_n811,HWDATA[2],open_n812,open_n813}),
- .wea(RAMDATA_WRITE[0]),
- .dob({open_n837,open_n838,open_n839,open_n840,open_n841,open_n842,open_n843,RAMDATA_RDATA[3:2]}));
- // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0016"),
- //.WID("0x0016"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
- .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
- .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
- .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
- .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
- .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
- .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
- .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
- .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
- .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
- .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
- .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
- .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
- .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
- .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
- .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
- .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
- .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
- .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
- .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
- .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_004 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n852,open_n853,open_n854,HWDATA[5],open_n855,open_n856,HWDATA[4],open_n857,open_n858}),
- .wea(RAMDATA_WRITE[0]),
- .dob({open_n882,open_n883,open_n884,open_n885,open_n886,open_n887,open_n888,RAMDATA_RDATA[5:4]}));
- // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0017"),
- //.WID("0x0017"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
- .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
- .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
- .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
- .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
- .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
- .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
- .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
- .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
- .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
- .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
- .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
- .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
- .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
- .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
- .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
- .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
- .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
- .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
- .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
- .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_006 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n897,open_n898,open_n899,HWDATA[7],open_n900,open_n901,HWDATA[6],open_n902,open_n903}),
- .wea(RAMDATA_WRITE[0]),
- .dob({open_n927,open_n928,open_n929,open_n930,open_n931,open_n932,open_n933,RAMDATA_RDATA[7:6]}));
- // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0018"),
- //.WID("0x0018"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
- .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
- .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
- .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
- .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
- .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
- .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
- .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
- .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
- .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
- .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
- .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
- .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
- .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
- .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
- .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
- .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
- .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
- .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
- .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
- .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_000 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n942,open_n943,open_n944,HWDATA[9],open_n945,open_n946,HWDATA[8],open_n947,open_n948}),
- .wea(RAMDATA_WRITE[1]),
- .dob({open_n972,open_n973,open_n974,open_n975,open_n976,open_n977,open_n978,RAMDATA_RDATA[9:8]}));
- // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0019"),
- //.WID("0x0019"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
- .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
- .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
- .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
- .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
- .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
- .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
- .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
- .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
- .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
- .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
- .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
- .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
- .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
- .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
- .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
- .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
- .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
- .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
- .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
- .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_002 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n987,open_n988,open_n989,HWDATA[11],open_n990,open_n991,HWDATA[10],open_n992,open_n993}),
- .wea(RAMDATA_WRITE[1]),
- .dob({open_n1017,open_n1018,open_n1019,open_n1020,open_n1021,open_n1022,open_n1023,RAMDATA_RDATA[11:10]}));
- // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x001A"),
- //.WID("0x001A"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
- .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
- .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
- .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
- .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
- .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
- .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
- .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
- .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
- .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
- .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
- .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
- .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
- .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
- .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
- .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
- .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
- .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
- .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
- .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
- .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_004 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n1032,open_n1033,open_n1034,HWDATA[13],open_n1035,open_n1036,HWDATA[12],open_n1037,open_n1038}),
- .wea(RAMDATA_WRITE[1]),
- .dob({open_n1062,open_n1063,open_n1064,open_n1065,open_n1066,open_n1067,open_n1068,RAMDATA_RDATA[13:12]}));
- // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x001B"),
- //.WID("0x001B"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
- .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
- .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
- .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
- .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
- .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
- .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
- .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
- .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
- .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
- .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
- .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
- .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
- .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
- .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
- .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
- .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
- .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
- .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
- .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
- .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_006 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n1077,open_n1078,open_n1079,HWDATA[15],open_n1080,open_n1081,HWDATA[14],open_n1082,open_n1083}),
- .wea(RAMDATA_WRITE[1]),
- .dob({open_n1107,open_n1108,open_n1109,open_n1110,open_n1111,open_n1112,open_n1113,RAMDATA_RDATA[15:14]}));
- // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x001C"),
- //.WID("0x001C"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
- .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
- .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
- .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
- .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
- .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
- .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
- .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
- .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
- .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
- .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
- .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
- .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
- .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
- .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
- .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
- .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
- .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
- .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
- .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
- .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_000 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n1122,open_n1123,open_n1124,HWDATA[17],open_n1125,open_n1126,HWDATA[16],open_n1127,open_n1128}),
- .wea(RAMDATA_WRITE[2]),
- .dob({open_n1152,open_n1153,open_n1154,open_n1155,open_n1156,open_n1157,open_n1158,RAMDATA_RDATA[17:16]}));
- // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x001D"),
- //.WID("0x001D"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
- .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
- .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
- .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
- .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
- .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
- .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
- .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
- .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
- .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
- .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
- .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
- .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
- .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
- .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
- .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
- .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
- .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
- .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
- .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
- .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_002 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n1167,open_n1168,open_n1169,HWDATA[19],open_n1170,open_n1171,HWDATA[18],open_n1172,open_n1173}),
- .wea(RAMDATA_WRITE[2]),
- .dob({open_n1197,open_n1198,open_n1199,open_n1200,open_n1201,open_n1202,open_n1203,RAMDATA_RDATA[19:18]}));
- // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x001E"),
- //.WID("0x001E"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
- .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
- .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
- .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
- .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
- .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
- .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
- .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
- .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
- .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
- .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
- .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
- .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
- .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
- .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
- .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
- .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
- .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
- .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
- .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
- .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_004 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n1212,open_n1213,open_n1214,HWDATA[21],open_n1215,open_n1216,HWDATA[20],open_n1217,open_n1218}),
- .wea(RAMDATA_WRITE[2]),
- .dob({open_n1242,open_n1243,open_n1244,open_n1245,open_n1246,open_n1247,open_n1248,RAMDATA_RDATA[21:20]}));
- // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x001F"),
- //.WID("0x001F"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
- .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
- .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
- .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
- .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
- .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
- .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
- .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
- .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
- .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
- .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
- .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
- .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
- .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
- .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
- .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
- .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
- .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
- .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
- .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
- .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_006 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n1257,open_n1258,open_n1259,HWDATA[23],open_n1260,open_n1261,HWDATA[22],open_n1262,open_n1263}),
- .wea(RAMDATA_WRITE[2]),
- .dob({open_n1287,open_n1288,open_n1289,open_n1290,open_n1291,open_n1292,open_n1293,RAMDATA_RDATA[23:22]}));
- // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0020"),
- //.WID("0x0020"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
- .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
- .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
- .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
- .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
- .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
- .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
- .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
- .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
- .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
- .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
- .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
- .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
- .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
- .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
- .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
- .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
- .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
- .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
- .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
- .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_000 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n1302,open_n1303,open_n1304,HWDATA[25],open_n1305,open_n1306,HWDATA[24],open_n1307,open_n1308}),
- .wea(RAMDATA_WRITE[3]),
- .dob({open_n1332,open_n1333,open_n1334,open_n1335,open_n1336,open_n1337,open_n1338,RAMDATA_RDATA[25:24]}));
- // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0021"),
- //.WID("0x0021"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
- .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
- .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
- .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
- .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
- .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
- .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
- .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
- .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
- .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
- .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
- .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
- .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
- .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
- .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
- .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
- .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
- .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
- .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
- .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
- .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_002 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n1347,open_n1348,open_n1349,HWDATA[27],open_n1350,open_n1351,HWDATA[26],open_n1352,open_n1353}),
- .wea(RAMDATA_WRITE[3]),
- .dob({open_n1377,open_n1378,open_n1379,open_n1380,open_n1381,open_n1382,open_n1383,RAMDATA_RDATA[27:26]}));
- // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0022"),
- //.WID("0x0022"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
- .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
- .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
- .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
- .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
- .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
- .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
- .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
- .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
- .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
- .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
- .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
- .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
- .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
- .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
- .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
- .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
- .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
- .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
- .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
- .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_004 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n1392,open_n1393,open_n1394,HWDATA[29],open_n1395,open_n1396,HWDATA[28],open_n1397,open_n1398}),
- .wea(RAMDATA_WRITE[3]),
- .dob({open_n1422,open_n1423,open_n1424,open_n1425,open_n1426,open_n1427,open_n1428,RAMDATA_RDATA[29:28]}));
- // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
- EG_PHY_BRAM #(
- //.RID("0x0023"),
- //.WID("0x0023"),
- .CEAMUX("1"),
- .CEBMUX("1"),
- .CSA0("1"),
- .CSA1("1"),
- .CSA2("1"),
- .CSB0("1"),
- .CSB1("1"),
- .CSB2("1"),
- .DATA_WIDTH_A("2"),
- .DATA_WIDTH_B("2"),
- .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
- .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
- .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
- .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
- .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
- .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
- .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
- .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
- .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
- .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
- .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
- .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
- .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
- .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
- .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
- .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
- .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
- .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
- .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
- .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
- .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
- .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
- .MODE("DP8K"),
- .OCEAMUX("1"),
- .OCEBMUX("1"),
- .READBACK("OFF"),
- .REGMODE_A("NOREG"),
- .REGMODE_B("NOREG"),
- .RESETMODE("ASYNC"),
- .RSTAMUX("0"),
- .RSTBMUX("0"),
- .WEBMUX("0"),
- .WRITEMODE_A("NORMAL"),
- .WRITEMODE_B("NORMAL"))
- \RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_006 (
- .addra({RAMDATA_WADDR,1'b1}),
- .addrb({HADDR[13:2],1'b1}),
- .clka(clk_pad),
- .clkb(clk_pad),
- .dia({open_n1437,open_n1438,open_n1439,HWDATA[31],open_n1440,open_n1441,HWDATA[30],open_n1442,open_n1443}),
- .wea(RAMDATA_WRITE[3]),
- .dob({open_n1467,open_n1468,open_n1469,open_n1470,open_n1471,open_n1472,open_n1473,RAMDATA_RDATA[31:30]}));
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_Interface/wr_en_reg_reg (
- .clk(clk_pad),
- .d(\SPI_Interface/write_en ),
- .sr(cpuresetn),
- .q(\SPI_Interface/wr_en_reg )); // ../rtl/AHBsubordinate/AHBlite_SPI.v(43)
- EG_PHY_LSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_l (
- .a({SPI_TX_Data[0],\SPI_TX/FIFO_SPI/wp [0]}),
- .b({SPI_TX_Data[1],\SPI_TX/FIFO_SPI/wp [1]}),
- .c({SPI_TX_Data[2],\SPI_TX/FIFO_SPI/wp [2]}),
- .clk(clk_pad),
- .d({SPI_TX_Data[3],\SPI_TX/FIFO_SPI/wp [3]}),
- .e({open_n1476,\SPI_TX/FIFO_SPI/n2_0 }),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di ),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di [1:0]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_001 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m1 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di [3:2]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_003 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_l (
- .a({SPI_TX_Data[4],\SPI_TX/FIFO_SPI/wp [0]}),
- .b({SPI_TX_Data[5],\SPI_TX/FIFO_SPI/wp [1]}),
- .c({SPI_TX_Data[6],\SPI_TX/FIFO_SPI/wp [2]}),
- .clk(clk_pad),
- .d({SPI_TX_Data[7],\SPI_TX/FIFO_SPI/wp [3]}),
- .e({open_n1511,\SPI_TX/FIFO_SPI/n2_0 }),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di ),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di [1:0]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_005 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m1 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di [3:2]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_007 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_l (
- .a({SPI_TX_Data[8],\SPI_TX/FIFO_SPI/wp [0]}),
- .b({SPI_TX_Data[9],\SPI_TX/FIFO_SPI/wp [1]}),
- .c({SPI_TX_Data[10],\SPI_TX/FIFO_SPI/wp [2]}),
- .clk(clk_pad),
- .d({SPI_TX_Data[11],\SPI_TX/FIFO_SPI/wp [3]}),
- .e({open_n1546,\SPI_TX/FIFO_SPI/n2_0 }),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di ),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di [1:0]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_009 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_008 }));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m1 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di [3:2]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_011 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_010 }));
- EG_PHY_LSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_l (
- .a({SPI_TX_Data[12],\SPI_TX/FIFO_SPI/wp [0]}),
- .b({SPI_TX_Data[13],\SPI_TX/FIFO_SPI/wp [1]}),
- .c({SPI_TX_Data[14],\SPI_TX/FIFO_SPI/wp [2]}),
- .clk(clk_pad),
- .d({SPI_TX_Data[15],\SPI_TX/FIFO_SPI/wp [3]}),
- .e({open_n1581,\SPI_TX/FIFO_SPI/n2_0 }),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di ),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di [1:0]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_013 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_012 }));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m1 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di [3:2]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_015 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_014 }));
- EG_PHY_LSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_l (
- .a({SPI_TX_Data[16],\SPI_TX/FIFO_SPI/wp [0]}),
- .b({SPI_TX_Data[17],\SPI_TX/FIFO_SPI/wp [1]}),
- .c({SPI_TX_Data[18],\SPI_TX/FIFO_SPI/wp [2]}),
- .clk(clk_pad),
- .d({SPI_TX_Data[19],\SPI_TX/FIFO_SPI/wp [3]}),
- .e({open_n1616,\SPI_TX/FIFO_SPI/n2_0 }),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di ),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di [1:0]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_017 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_016 }));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m1 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di [3:2]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_019 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_018 }));
- EG_PHY_LSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_l (
- .a({SPI_TX_Data[20],\SPI_TX/FIFO_SPI/wp [0]}),
- .b({SPI_TX_Data[21],\SPI_TX/FIFO_SPI/wp [1]}),
- .c({SPI_TX_Data[22],\SPI_TX/FIFO_SPI/wp [2]}),
- .clk(clk_pad),
- .d({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [3]}),
- .e({open_n1651,\SPI_TX/FIFO_SPI/n2_0 }),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di ),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di [1:0]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_021 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_020 }));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m1 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di [3:2]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_023 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_022 }));
- EG_PHY_LSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_l (
- .a({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [0]}),
- .b({1'b0,\SPI_TX/FIFO_SPI/wp [1]}),
- .c({1'b0,\SPI_TX/FIFO_SPI/wp [2]}),
- .clk(clk_pad),
- .d({1'b0,\SPI_TX/FIFO_SPI/wp [3]}),
- .e({open_n1686,\SPI_TX/FIFO_SPI/n2_0 }),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di ),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di [1:0]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ),
- .f({open_n1704,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_024 }));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m1 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di [3:2]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ));
- EG_PHY_LSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_l (
- .a({SPI_TX_Data[0],\SPI_TX/FIFO_SPI/wp [0]}),
- .b({SPI_TX_Data[1],\SPI_TX/FIFO_SPI/wp [1]}),
- .c({SPI_TX_Data[2],\SPI_TX/FIFO_SPI/wp [2]}),
- .clk(clk_pad),
- .d({SPI_TX_Data[3],\SPI_TX/FIFO_SPI/wp [3]}),
- .e({open_n1724,\SPI_TX/FIFO_SPI/n2_1 }),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di ),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di [1:0]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_001 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m1 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di [3:2]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_003 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_l (
- .a({SPI_TX_Data[4],\SPI_TX/FIFO_SPI/wp [0]}),
- .b({SPI_TX_Data[5],\SPI_TX/FIFO_SPI/wp [1]}),
- .c({SPI_TX_Data[6],\SPI_TX/FIFO_SPI/wp [2]}),
- .clk(clk_pad),
- .d({SPI_TX_Data[7],\SPI_TX/FIFO_SPI/wp [3]}),
- .e({open_n1759,\SPI_TX/FIFO_SPI/n2_1 }),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di ),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di [1:0]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_005 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m1 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di [3:2]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_007 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_l (
- .a({SPI_TX_Data[8],\SPI_TX/FIFO_SPI/wp [0]}),
- .b({SPI_TX_Data[9],\SPI_TX/FIFO_SPI/wp [1]}),
- .c({SPI_TX_Data[10],\SPI_TX/FIFO_SPI/wp [2]}),
- .clk(clk_pad),
- .d({SPI_TX_Data[11],\SPI_TX/FIFO_SPI/wp [3]}),
- .e({open_n1794,\SPI_TX/FIFO_SPI/n2_1 }),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di ),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di [1:0]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_009 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_008 }));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m1 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di [3:2]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_011 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_010 }));
- EG_PHY_LSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_l (
- .a({SPI_TX_Data[12],\SPI_TX/FIFO_SPI/wp [0]}),
- .b({SPI_TX_Data[13],\SPI_TX/FIFO_SPI/wp [1]}),
- .c({SPI_TX_Data[14],\SPI_TX/FIFO_SPI/wp [2]}),
- .clk(clk_pad),
- .d({SPI_TX_Data[15],\SPI_TX/FIFO_SPI/wp [3]}),
- .e({open_n1829,\SPI_TX/FIFO_SPI/n2_1 }),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di ),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di [1:0]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_013 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_012 }));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m1 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di [3:2]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_015 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_014 }));
- EG_PHY_LSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_l (
- .a({SPI_TX_Data[16],\SPI_TX/FIFO_SPI/wp [0]}),
- .b({SPI_TX_Data[17],\SPI_TX/FIFO_SPI/wp [1]}),
- .c({SPI_TX_Data[18],\SPI_TX/FIFO_SPI/wp [2]}),
- .clk(clk_pad),
- .d({SPI_TX_Data[19],\SPI_TX/FIFO_SPI/wp [3]}),
- .e({open_n1864,\SPI_TX/FIFO_SPI/n2_1 }),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di ),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di [1:0]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_017 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_016 }));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m1 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di [3:2]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_019 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_018 }));
- EG_PHY_LSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_l (
- .a({SPI_TX_Data[20],\SPI_TX/FIFO_SPI/wp [0]}),
- .b({SPI_TX_Data[21],\SPI_TX/FIFO_SPI/wp [1]}),
- .c({SPI_TX_Data[22],\SPI_TX/FIFO_SPI/wp [2]}),
- .clk(clk_pad),
- .d({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [3]}),
- .e({open_n1899,\SPI_TX/FIFO_SPI/n2_1 }),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di ),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di [1:0]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_021 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_020 }));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m1 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di [3:2]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ),
- .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_023 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_022 }));
- EG_PHY_LSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_l (
- .a({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [0]}),
- .b({1'b0,\SPI_TX/FIFO_SPI/wp [1]}),
- .c({1'b0,\SPI_TX/FIFO_SPI/wp [2]}),
- .clk(clk_pad),
- .d({1'b0,\SPI_TX/FIFO_SPI/wp [3]}),
- .e({open_n1934,\SPI_TX/FIFO_SPI/n2_1 }),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di ),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di [1:0]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ),
- .f({open_n1952,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_024 }));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m1 (
- .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
- .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
- .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
- .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
- .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di [3:2]),
- .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ),
- .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ),
- .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ),
- .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ));
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \SPI_TX/FIFO_SPI/r_flag_reg (
- .ce(\SPI_TX/FIFO_SPI/u13_sel_is_3_o ),
- .clk(clk_pad),
- .d(\SPI_TX/FIFO_SPI/n19 ),
- .sr(cpuresetn),
- .q(\SPI_TX/FIFO_SPI/r_flag )); // ../rtl/peripherals/FIFO_SPI.v(47)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \SPI_TX/FIFO_SPI/reg0_b0 (
- .ce(\SPI_TX/FIFOrd_en ),
- .clk(clk_pad),
- .d(\SPI_TX/FIFO_SPI/n18 [0]),
- .sr(cpuresetn),
- .q(\SPI_TX/FIFO_SPI/rp [0])); // ../rtl/peripherals/FIFO_SPI.v(47)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \SPI_TX/FIFO_SPI/reg0_b1 (
- .ce(\SPI_TX/FIFOrd_en ),
- .clk(clk_pad),
- .d(\SPI_TX/FIFO_SPI/n17 [1]),
- .sr(cpuresetn),
- .q(\SPI_TX/FIFO_SPI/rp [1])); // ../rtl/peripherals/FIFO_SPI.v(47)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \SPI_TX/FIFO_SPI/reg0_b2 (
- .ce(\SPI_TX/FIFOrd_en ),
- .clk(clk_pad),
- .d(\SPI_TX/FIFO_SPI/n17 [2]),
- .sr(cpuresetn),
- .q(\SPI_TX/FIFO_SPI/rp [2])); // ../rtl/peripherals/FIFO_SPI.v(47)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \SPI_TX/FIFO_SPI/reg0_b3 (
- .ce(\SPI_TX/FIFOrd_en ),
- .clk(clk_pad),
- .d(\SPI_TX/FIFO_SPI/n18 [3]),
- .sr(cpuresetn),
- .q(\SPI_TX/FIFO_SPI/rp [3])); // ../rtl/peripherals/FIFO_SPI.v(47)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \SPI_TX/FIFO_SPI/reg0_b4 (
- .ce(\SPI_TX/FIFOrd_en ),
- .clk(clk_pad),
- .d(\SPI_TX/FIFO_SPI/n18 [4]),
- .sr(cpuresetn),
- .q(\SPI_TX/FIFO_SPI/rp [4])); // ../rtl/peripherals/FIFO_SPI.v(47)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \SPI_TX/FIFO_SPI/reg1_b0 (
- .ce(\SPI_TX/FIFOwr_en ),
- .clk(clk_pad),
- .d(\SPI_TX/FIFO_SPI/n6 [0]),
- .sr(cpuresetn),
- .q(\SPI_TX/FIFO_SPI/wp [0])); // ../rtl/peripherals/FIFO_SPI.v(31)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \SPI_TX/FIFO_SPI/reg1_b1 (
- .ce(\SPI_TX/FIFOwr_en ),
- .clk(clk_pad),
- .d(\SPI_TX/FIFO_SPI/n5 [1]),
- .sr(cpuresetn),
- .q(\SPI_TX/FIFO_SPI/wp [1])); // ../rtl/peripherals/FIFO_SPI.v(31)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \SPI_TX/FIFO_SPI/reg1_b2 (
- .ce(\SPI_TX/FIFOwr_en ),
- .clk(clk_pad),
- .d(\SPI_TX/FIFO_SPI/n5 [2]),
- .sr(cpuresetn),
- .q(\SPI_TX/FIFO_SPI/wp [2])); // ../rtl/peripherals/FIFO_SPI.v(31)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \SPI_TX/FIFO_SPI/reg1_b3 (
- .ce(\SPI_TX/FIFOwr_en ),
- .clk(clk_pad),
- .d(\SPI_TX/FIFO_SPI/n6 [3]),
- .sr(cpuresetn),
- .q(\SPI_TX/FIFO_SPI/wp [3])); // ../rtl/peripherals/FIFO_SPI.v(31)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \SPI_TX/FIFO_SPI/reg1_b4 (
- .ce(\SPI_TX/FIFOwr_en ),
- .clk(clk_pad),
- .d(\SPI_TX/FIFO_SPI/n6 [4]),
- .sr(cpuresetn),
- .q(\SPI_TX/FIFO_SPI/wp [4])); // ../rtl/peripherals/FIFO_SPI.v(31)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \SPI_TX/FIFO_SPI/w_flag_reg (
- .ce(\SPI_TX/FIFO_SPI/u7_sel_is_3_o ),
- .clk(clk_pad),
- .d(\SPI_TX/FIFO_SPI/n7 ),
- .sr(cpuresetn),
- .q(\SPI_TX/FIFO_SPI/w_flag )); // ../rtl/peripherals/FIFO_SPI.v(31)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/MSI_CS_reg (
- .ce(\SPI_TX/count_en ),
- .clk(clk_pad),
- .d(\SPI_TX/n104 ),
- .sr(cpuresetn),
- .q(MSI_CS_pad)); // ../rtl/peripherals/SPI_TX.v(72)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/MSI_clk_en_reg (
- .ce(\SPI_TX/count_en ),
- .clk(clk_pad),
- .d(\SPI_TX/n109 ),
- .sr(cpuresetn),
- .q(\SPI_TX/MSI_clk_en )); // ../rtl/peripherals/SPI_TX.v(72)
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \SPI_TX/add0/u0|SPI_TX/add0/ucin (
- .a({\SPI_TX/counter [0],1'b0}),
- .b({1'b1,open_n1971}),
- .f({\SPI_TX/n31 [0],open_n1991}),
- .fco(\SPI_TX/add0/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \SPI_TX/add0/u10|SPI_TX/add0/u9 (
- .a(\SPI_TX/counter [10:9]),
- .b(2'b00),
- .fci(\SPI_TX/add0/c9 ),
- .f(\SPI_TX/n31 [10:9]),
- .fco(\SPI_TX/add0/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \SPI_TX/add0/u12|SPI_TX/add0/u11 (
- .a(\SPI_TX/counter [12:11]),
- .b(2'b00),
- .fci(\SPI_TX/add0/c11 ),
- .f(\SPI_TX/n31 [12:11]),
- .fco(\SPI_TX/add0/c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \SPI_TX/add0/u13_al_u535 (
- .a({open_n2040,\SPI_TX/counter [13]}),
- .b({open_n2041,1'b0}),
- .fci(\SPI_TX/add0/c13 ),
- .f({open_n2060,\SPI_TX/n31 [13]}));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \SPI_TX/add0/u2|SPI_TX/add0/u1 (
- .a(\SPI_TX/counter [2:1]),
- .b(2'b00),
- .fci(\SPI_TX/add0/c1 ),
- .f(\SPI_TX/n31 [2:1]),
- .fco(\SPI_TX/add0/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \SPI_TX/add0/u4|SPI_TX/add0/u3 (
- .a(\SPI_TX/counter [4:3]),
- .b(2'b00),
- .fci(\SPI_TX/add0/c3 ),
- .f(\SPI_TX/n31 [4:3]),
- .fco(\SPI_TX/add0/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \SPI_TX/add0/u6|SPI_TX/add0/u5 (
- .a(\SPI_TX/counter [6:5]),
- .b(2'b00),
- .fci(\SPI_TX/add0/c5 ),
- .f(\SPI_TX/n31 [6:5]),
- .fco(\SPI_TX/add0/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \SPI_TX/add0/u8|SPI_TX/add0/u7 (
- .a(\SPI_TX/counter [8:7]),
- .b(2'b00),
- .fci(\SPI_TX/add0/c7 ),
- .f(\SPI_TX/n31 [8:7]),
- .fco(\SPI_TX/add0/c9 ));
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/count_en_reg (
- .clk(clk_pad),
- .d(\SPI_TX/n24 ),
- .sr(cpuresetn),
- .q(\SPI_TX/count_en )); // ../rtl/peripherals/SPI_TX.v(53)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/data_temp_reg (
- .ce(\SPI_TX/count_en ),
- .clk(clk_pad),
- .d(\SPI_TX/n106 ),
- .sr(cpuresetn),
- .q(MSI_SDATA_pad)); // ../rtl/peripherals/SPI_TX.v(72)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/reg0_b0 (
- .clk(clk_pad),
- .d(\SPI_TX/n33 [0]),
- .sr(cpuresetn),
- .q(\SPI_TX/counter [0])); // ../rtl/peripherals/SPI_TX.v(59)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/reg0_b1 (
- .clk(clk_pad),
- .d(\SPI_TX/n33 [1]),
- .sr(cpuresetn),
- .q(\SPI_TX/counter [1])); // ../rtl/peripherals/SPI_TX.v(59)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/reg0_b10 (
- .clk(clk_pad),
- .d(\SPI_TX/n33 [10]),
- .sr(cpuresetn),
- .q(\SPI_TX/counter [10])); // ../rtl/peripherals/SPI_TX.v(59)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/reg0_b11 (
- .clk(clk_pad),
- .d(\SPI_TX/n33 [11]),
- .sr(cpuresetn),
- .q(\SPI_TX/counter [11])); // ../rtl/peripherals/SPI_TX.v(59)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/reg0_b12 (
- .clk(clk_pad),
- .d(\SPI_TX/n33 [12]),
- .sr(cpuresetn),
- .q(\SPI_TX/counter [12])); // ../rtl/peripherals/SPI_TX.v(59)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/reg0_b13 (
- .clk(clk_pad),
- .d(\SPI_TX/n33 [13]),
- .sr(cpuresetn),
- .q(\SPI_TX/counter [13])); // ../rtl/peripherals/SPI_TX.v(59)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/reg0_b2 (
- .clk(clk_pad),
- .d(\SPI_TX/n33 [2]),
- .sr(cpuresetn),
- .q(\SPI_TX/counter [2])); // ../rtl/peripherals/SPI_TX.v(59)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/reg0_b3 (
- .clk(clk_pad),
- .d(\SPI_TX/n33 [3]),
- .sr(cpuresetn),
- .q(\SPI_TX/counter [3])); // ../rtl/peripherals/SPI_TX.v(59)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/reg0_b4 (
- .clk(clk_pad),
- .d(\SPI_TX/n33 [4]),
- .sr(cpuresetn),
- .q(\SPI_TX/counter [4])); // ../rtl/peripherals/SPI_TX.v(59)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/reg0_b5 (
- .clk(clk_pad),
- .d(\SPI_TX/n33 [5]),
- .sr(cpuresetn),
- .q(\SPI_TX/counter [5])); // ../rtl/peripherals/SPI_TX.v(59)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/reg0_b6 (
- .clk(clk_pad),
- .d(\SPI_TX/n33 [6]),
- .sr(cpuresetn),
- .q(\SPI_TX/counter [6])); // ../rtl/peripherals/SPI_TX.v(59)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/reg0_b7 (
- .clk(clk_pad),
- .d(\SPI_TX/n33 [7]),
- .sr(cpuresetn),
- .q(\SPI_TX/counter [7])); // ../rtl/peripherals/SPI_TX.v(59)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/reg0_b8 (
- .clk(clk_pad),
- .d(\SPI_TX/n33 [8]),
- .sr(cpuresetn),
- .q(\SPI_TX/counter [8])); // ../rtl/peripherals/SPI_TX.v(59)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \SPI_TX/reg0_b9 (
- .clk(clk_pad),
- .d(\SPI_TX/n33 [9]),
- .sr(cpuresetn),
- .q(\SPI_TX/counter [9])); // ../rtl/peripherals/SPI_TX.v(59)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_Interface/rd_en_reg_reg (
- .clk(clk_pad),
- .d(\UART_Interface/read_en ),
- .sr(cpuresetn),
- .q(\UART_Interface/rd_en_reg )); // ../rtl/AHBsubordinate/AHBlite_UART.v(38)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_Interface/reg0_b0 (
- .ce(\UART_Interface/n5 ),
- .clk(clk_pad),
- .d(HADDR[0]),
- .sr(cpuresetn),
- .q(\UART_Interface/addr_reg [0])); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_Interface/reg0_b1 (
- .ce(\UART_Interface/n5 ),
- .clk(clk_pad),
- .d(HADDR[1]),
- .sr(cpuresetn),
- .q(\UART_Interface/addr_reg [1])); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_Interface/reg0_b2 (
- .ce(\UART_Interface/n5 ),
- .clk(clk_pad),
- .d(HADDR[2]),
- .sr(cpuresetn),
- .q(\UART_Interface/addr_reg [2])); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_Interface/reg0_b3 (
- .ce(\UART_Interface/n5 ),
- .clk(clk_pad),
- .d(HADDR[3]),
- .sr(cpuresetn),
- .q(\UART_Interface/addr_reg [3])); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_Interface/wr_en_reg_reg (
- .clk(clk_pad),
- .d(\UART_Interface/write_en ),
- .sr(cpuresetn),
- .q(\UART_Interface/wr_en_reg )); // ../rtl/AHBsubordinate/AHBlite_UART.v(45)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_RX/counter_en_reg (
- .clk(clk_pad),
- .d(\UART_RX/n6 ),
- .sr(cpuresetn),
- .q(bps_en_rx)); // ../rtl/peripherals/UART_RX.v(26)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_RX/reg0_b0 (
- .ce(bps_en_rx),
- .clk(clk_pad),
- .d(\UART_RX/n9 [0]),
- .sr(cpuresetn),
- .q(\UART_RX/counter [0])); // ../rtl/peripherals/UART_RX.v(32)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_RX/reg0_b1 (
- .ce(bps_en_rx),
- .clk(clk_pad),
- .d(\UART_RX/n9 [1]),
- .sr(cpuresetn),
- .q(\UART_RX/counter [1])); // ../rtl/peripherals/UART_RX.v(32)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_RX/reg0_b2 (
- .ce(bps_en_rx),
- .clk(clk_pad),
- .d(\UART_RX/n9 [2]),
- .sr(cpuresetn),
- .q(\UART_RX/counter [2])); // ../rtl/peripherals/UART_RX.v(32)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_RX/reg0_b3 (
- .ce(bps_en_rx),
- .clk(clk_pad),
- .d(\UART_RX/n9 [3]),
- .sr(cpuresetn),
- .q(\UART_RX/counter [3])); // ../rtl/peripherals/UART_RX.v(32)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_RX/reg1_b0 (
- .ce(\UART_RX/mux5_b0_sel_is_3_o ),
- .clk(clk_pad),
- .d(RXD_pad),
- .sr(cpuresetn),
- .q(UART_RX_data[0])); // ../rtl/peripherals/UART_RX.v(43)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_RX/reg1_b1 (
- .ce(\UART_RX/mux5_b1_sel_is_3_o ),
- .clk(clk_pad),
- .d(RXD_pad),
- .sr(cpuresetn),
- .q(UART_RX_data[1])); // ../rtl/peripherals/UART_RX.v(43)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_RX/reg1_b2 (
- .ce(\UART_RX/mux5_b2_sel_is_3_o ),
- .clk(clk_pad),
- .d(RXD_pad),
- .sr(cpuresetn),
- .q(UART_RX_data[2])); // ../rtl/peripherals/UART_RX.v(43)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_RX/reg1_b3 (
- .ce(\UART_RX/mux5_b3_sel_is_3_o ),
- .clk(clk_pad),
- .d(RXD_pad),
- .sr(cpuresetn),
- .q(UART_RX_data[3])); // ../rtl/peripherals/UART_RX.v(43)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_RX/reg1_b4 (
- .ce(\UART_RX/mux5_b4_sel_is_3_o ),
- .clk(clk_pad),
- .d(RXD_pad),
- .sr(cpuresetn),
- .q(UART_RX_data[4])); // ../rtl/peripherals/UART_RX.v(43)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_RX/reg1_b5 (
- .ce(\UART_RX/mux5_b5_sel_is_3_o ),
- .clk(clk_pad),
- .d(RXD_pad),
- .sr(cpuresetn),
- .q(UART_RX_data[5])); // ../rtl/peripherals/UART_RX.v(43)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_RX/reg1_b6 (
- .ce(\UART_RX/mux5_b6_sel_is_3_o ),
- .clk(clk_pad),
- .d(RXD_pad),
- .sr(cpuresetn),
- .q(UART_RX_data[6])); // ../rtl/peripherals/UART_RX.v(43)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_RX/reg1_b7 (
- .ce(\UART_RX/mux5_b7_sel_is_3_o ),
- .clk(clk_pad),
- .d(RXD_pad),
- .sr(cpuresetn),
- .q(UART_RX_data[7])); // ../rtl/peripherals/UART_RX.v(43)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_RX/reg2_b0 (
- .clk(clk_pad),
- .d(\UART_RX/shift_reg [1]),
- .sr(cpuresetn),
- .q(\UART_RX/shift_reg [0])); // ../rtl/peripherals/UART_RX.v(13)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_RX/reg2_b1 (
- .clk(clk_pad),
- .d(\UART_RX/shift_reg [2]),
- .sr(cpuresetn),
- .q(\UART_RX/shift_reg [1])); // ../rtl/peripherals/UART_RX.v(13)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_RX/reg2_b2 (
- .clk(clk_pad),
- .d(\UART_RX/shift_reg [3]),
- .sr(cpuresetn),
- .q(\UART_RX/shift_reg [2])); // ../rtl/peripherals/UART_RX.v(13)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_RX/reg2_b3 (
- .clk(clk_pad),
- .d(\UART_RX/shift_reg [4]),
- .sr(cpuresetn),
- .q(\UART_RX/shift_reg [3])); // ../rtl/peripherals/UART_RX.v(13)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_RX/reg2_b4 (
- .clk(clk_pad),
- .d(\UART_RX/shift_reg [5]),
- .sr(cpuresetn),
- .q(\UART_RX/shift_reg [4])); // ../rtl/peripherals/UART_RX.v(13)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_RX/reg2_b5 (
- .clk(clk_pad),
- .d(\UART_RX/shift_reg [6]),
- .sr(cpuresetn),
- .q(\UART_RX/shift_reg [5])); // ../rtl/peripherals/UART_RX.v(13)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_RX/reg2_b6 (
- .clk(clk_pad),
- .d(\UART_RX/shift_reg [7]),
- .sr(cpuresetn),
- .q(\UART_RX/shift_reg [6])); // ../rtl/peripherals/UART_RX.v(13)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_RX/reg2_b7 (
- .clk(clk_pad),
- .d(RXD_pad),
- .sr(cpuresetn),
- .q(\UART_RX/shift_reg [7])); // ../rtl/peripherals/UART_RX.v(13)
- EG_PHY_LSLICE #(
- //.MACRO("UART_TX/FIFO/al_ram_mem_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \UART_TX/FIFO/al_ram_mem_c0_l (
- .a({UART_TX_data[0],\UART_TX/FIFO/wp [0]}),
- .b({UART_TX_data[1],\UART_TX/FIFO/wp [1]}),
- .c({UART_TX_data[2],\UART_TX/FIFO/wp [2]}),
- .clk(clk_pad),
- .d({UART_TX_data[3],\UART_TX/FIFO/wp [3]}),
- .e({open_n2181,\UART_TX/FIFOwr_en }),
- .dpram_di(\UART_TX/FIFO/al_ram_mem_c0_di ),
- .dpram_mode(\UART_TX/FIFO/al_ram_mem_c0_mode ),
- .dpram_waddr(\UART_TX/FIFO/al_ram_mem_c0_waddr ),
- .dpram_wclk(\UART_TX/FIFO/al_ram_mem_c0_wclk ),
- .dpram_we(\UART_TX/FIFO/al_ram_mem_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("UART_TX/FIFO/al_ram_mem_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \UART_TX/FIFO/al_ram_mem_c0_m0 (
- .a({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [0]}),
- .b({\UART_TX/FIFO/rp [1],\UART_TX/FIFO/rp [1]}),
- .c({\UART_TX/FIFO/rp [2],\UART_TX/FIFO/rp [2]}),
- .d({\UART_TX/FIFO/rp [3],\UART_TX/FIFO/rp [3]}),
- .dpram_di(\UART_TX/FIFO/al_ram_mem_c0_di [1:0]),
- .dpram_mode(\UART_TX/FIFO/al_ram_mem_c0_mode ),
- .dpram_waddr(\UART_TX/FIFO/al_ram_mem_c0_waddr ),
- .dpram_wclk(\UART_TX/FIFO/al_ram_mem_c0_wclk ),
- .dpram_we(\UART_TX/FIFO/al_ram_mem_c0_we ),
- .f(\UART_TX/FIFOdata [1:0]));
- EG_PHY_MSLICE #(
- //.MACRO("UART_TX/FIFO/al_ram_mem_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \UART_TX/FIFO/al_ram_mem_c0_m1 (
- .a({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [0]}),
- .b({\UART_TX/FIFO/rp [1],\UART_TX/FIFO/rp [1]}),
- .c({\UART_TX/FIFO/rp [2],\UART_TX/FIFO/rp [2]}),
- .d({\UART_TX/FIFO/rp [3],\UART_TX/FIFO/rp [3]}),
- .dpram_di(\UART_TX/FIFO/al_ram_mem_c0_di [3:2]),
- .dpram_mode(\UART_TX/FIFO/al_ram_mem_c0_mode ),
- .dpram_waddr(\UART_TX/FIFO/al_ram_mem_c0_waddr ),
- .dpram_wclk(\UART_TX/FIFO/al_ram_mem_c0_wclk ),
- .dpram_we(\UART_TX/FIFO/al_ram_mem_c0_we ),
- .f(\UART_TX/FIFOdata [3:2]));
- EG_PHY_LSLICE #(
- //.MACRO("UART_TX/FIFO/al_ram_mem_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \UART_TX/FIFO/al_ram_mem_c1_l (
- .a({UART_TX_data[4],\UART_TX/FIFO/wp [0]}),
- .b({UART_TX_data[5],\UART_TX/FIFO/wp [1]}),
- .c({UART_TX_data[6],\UART_TX/FIFO/wp [2]}),
- .clk(clk_pad),
- .d({UART_TX_data[7],\UART_TX/FIFO/wp [3]}),
- .e({open_n2216,\UART_TX/FIFOwr_en }),
- .dpram_di(\UART_TX/FIFO/al_ram_mem_c1_di ),
- .dpram_mode(\UART_TX/FIFO/al_ram_mem_c1_mode ),
- .dpram_waddr(\UART_TX/FIFO/al_ram_mem_c1_waddr ),
- .dpram_wclk(\UART_TX/FIFO/al_ram_mem_c1_wclk ),
- .dpram_we(\UART_TX/FIFO/al_ram_mem_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("UART_TX/FIFO/al_ram_mem_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \UART_TX/FIFO/al_ram_mem_c1_m0 (
- .a({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [0]}),
- .b({\UART_TX/FIFO/rp [1],\UART_TX/FIFO/rp [1]}),
- .c({\UART_TX/FIFO/rp [2],\UART_TX/FIFO/rp [2]}),
- .d({\UART_TX/FIFO/rp [3],\UART_TX/FIFO/rp [3]}),
- .dpram_di(\UART_TX/FIFO/al_ram_mem_c1_di [1:0]),
- .dpram_mode(\UART_TX/FIFO/al_ram_mem_c1_mode ),
- .dpram_waddr(\UART_TX/FIFO/al_ram_mem_c1_waddr ),
- .dpram_wclk(\UART_TX/FIFO/al_ram_mem_c1_wclk ),
- .dpram_we(\UART_TX/FIFO/al_ram_mem_c1_we ),
- .f(\UART_TX/FIFOdata [5:4]));
- EG_PHY_MSLICE #(
- //.MACRO("UART_TX/FIFO/al_ram_mem_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \UART_TX/FIFO/al_ram_mem_c1_m1 (
- .a({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [0]}),
- .b({\UART_TX/FIFO/rp [1],\UART_TX/FIFO/rp [1]}),
- .c({\UART_TX/FIFO/rp [2],\UART_TX/FIFO/rp [2]}),
- .d({\UART_TX/FIFO/rp [3],\UART_TX/FIFO/rp [3]}),
- .dpram_di(\UART_TX/FIFO/al_ram_mem_c1_di [3:2]),
- .dpram_mode(\UART_TX/FIFO/al_ram_mem_c1_mode ),
- .dpram_waddr(\UART_TX/FIFO/al_ram_mem_c1_waddr ),
- .dpram_wclk(\UART_TX/FIFO/al_ram_mem_c1_wclk ),
- .dpram_we(\UART_TX/FIFO/al_ram_mem_c1_we ),
- .f(\UART_TX/FIFOdata [7:6]));
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_TX/FIFO/r_flag_reg (
- .ce(\UART_TX/FIFO/u13_sel_is_3_o ),
- .clk(clk_pad),
- .d(\UART_TX/FIFO/n19 ),
- .sr(cpuresetn),
- .q(\UART_TX/FIFO/r_flag )); // ../rtl/peripherals/FIFO.v(45)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_TX/FIFO/reg0_b0 (
- .ce(\UART_TX/FIFOrd_en ),
- .clk(clk_pad),
- .d(\UART_TX/FIFO/n18 [0]),
- .sr(cpuresetn),
- .q(\UART_TX/FIFO/rp [0])); // ../rtl/peripherals/FIFO.v(45)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_TX/FIFO/reg0_b1 (
- .ce(\UART_TX/FIFOrd_en ),
- .clk(clk_pad),
- .d(\UART_TX/FIFO/n17 [1]),
- .sr(cpuresetn),
- .q(\UART_TX/FIFO/rp [1])); // ../rtl/peripherals/FIFO.v(45)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_TX/FIFO/reg0_b2 (
- .ce(\UART_TX/FIFOrd_en ),
- .clk(clk_pad),
- .d(\UART_TX/FIFO/n17 [2]),
- .sr(cpuresetn),
- .q(\UART_TX/FIFO/rp [2])); // ../rtl/peripherals/FIFO.v(45)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_TX/FIFO/reg0_b3 (
- .ce(\UART_TX/FIFOrd_en ),
- .clk(clk_pad),
- .d(\UART_TX/FIFO/n17 [3]),
- .sr(cpuresetn),
- .q(\UART_TX/FIFO/rp [3])); // ../rtl/peripherals/FIFO.v(45)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_TX/FIFO/reg1_b0 (
- .ce(\UART_TX/FIFOwr_en ),
- .clk(clk_pad),
- .d(\UART_TX/FIFO/n6 [0]),
- .sr(cpuresetn),
- .q(\UART_TX/FIFO/wp [0])); // ../rtl/peripherals/FIFO.v(29)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_TX/FIFO/reg1_b1 (
- .ce(\UART_TX/FIFOwr_en ),
- .clk(clk_pad),
- .d(\UART_TX/FIFO/n5 [1]),
- .sr(cpuresetn),
- .q(\UART_TX/FIFO/wp [1])); // ../rtl/peripherals/FIFO.v(29)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_TX/FIFO/reg1_b2 (
- .ce(\UART_TX/FIFOwr_en ),
- .clk(clk_pad),
- .d(\UART_TX/FIFO/n5 [2]),
- .sr(cpuresetn),
- .q(\UART_TX/FIFO/wp [2])); // ../rtl/peripherals/FIFO.v(29)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_TX/FIFO/reg1_b3 (
- .ce(\UART_TX/FIFOwr_en ),
- .clk(clk_pad),
- .d(\UART_TX/FIFO/n5 [3]),
- .sr(cpuresetn),
- .q(\UART_TX/FIFO/wp [3])); // ../rtl/peripherals/FIFO.v(29)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \UART_TX/FIFO/w_flag_reg (
- .ce(\UART_TX/FIFO/u7_sel_is_3_o ),
- .clk(clk_pad),
- .d(\UART_TX/FIFO/n7 ),
- .sr(cpuresetn),
- .q(\UART_TX/FIFO/w_flag )); // ../rtl/peripherals/FIFO.v(29)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_TX/TXD_reg (
- .clk(clk_pad),
- .d(\UART_TX/n14 ),
- .sr(cpuresetn),
- .q(TXD_pad)); // ../rtl/peripherals/UART_TX.v(65)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_TX/counter_en_reg (
- .clk(clk_pad),
- .d(\UART_TX/n4 ),
- .sr(cpuresetn),
- .q(bps_en_tx)); // ../rtl/peripherals/UART_TX.v(45)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_TX/reg0_b0 (
- .ce(bps_en_tx),
- .clk(clk_pad),
- .d(\UART_TX/n8 [0]),
- .sr(cpuresetn),
- .q(\UART_TX/counter [0])); // ../rtl/peripherals/UART_TX.v(51)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_TX/reg0_b1 (
- .ce(bps_en_tx),
- .clk(clk_pad),
- .d(\UART_TX/n8 [1]),
- .sr(cpuresetn),
- .q(\UART_TX/counter [1])); // ../rtl/peripherals/UART_TX.v(51)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_TX/reg0_b2 (
- .ce(bps_en_tx),
- .clk(clk_pad),
- .d(\UART_TX/n8 [2]),
- .sr(cpuresetn),
- .q(\UART_TX/counter [2])); // ../rtl/peripherals/UART_TX.v(51)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \UART_TX/reg0_b3 (
- .ce(bps_en_tx),
- .clk(clk_pad),
- .d(\UART_TX/n8 [3]),
- .sr(cpuresetn),
- .q(\UART_TX/counter [3])); // ../rtl/peripherals/UART_TX.v(51)
- EG_PHY_PAD #(
- //.LOCATION("F16"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u0 (
- .do({open_n2253,open_n2254,open_n2255,LED_pad[7]}),
- .opad(LED[7])); // ../rtl/topmodule/CortexM0_SoC.v(11)
- EG_PHY_PAD #(
- //.LOCATION("E16"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u1 (
- .do({open_n2270,open_n2271,open_n2272,LED_pad[6]}),
- .opad(LED[6])); // ../rtl/topmodule/CortexM0_SoC.v(11)
- EG_PHY_PAD #(
- //.LOCATION("M9"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u10 (
- .do({open_n2287,open_n2288,open_n2289,MSI_SCLK_pad}),
- .opad(MSI_SCLK)); // ../rtl/topmodule/CortexM0_SoC.v(17)
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u100 (
- .a(\RAMDATA_Interface/size_reg [0]),
- .b(\RAMDATA_Interface/wr_en_reg ),
- .o(RAMDATA_WRITE[0]));
- AL_MAP_LUT2 #(
- .EQN("(B@A)"),
- .INIT(4'h6))
- _al_u101 (
- .a(\SPI_TX/FIFO_SPI/rp [0]),
- .b(\SPI_TX/FIFO_SPI/rp [1]),
- .o(\SPI_TX/FIFO_SPI/n17 [1]));
- AL_MAP_LUT2 #(
- .EQN("(B@A)"),
- .INIT(4'h6))
- _al_u102 (
- .a(\SPI_TX/FIFO_SPI/wp [0]),
- .b(\SPI_TX/FIFO_SPI/wp [1]),
- .o(\SPI_TX/FIFO_SPI/n5 [1]));
- AL_MAP_LUT2 #(
- .EQN("(B@A)"),
- .INIT(4'h6))
- _al_u103 (
- .a(\UART_TX/FIFO/rp [0]),
- .b(\UART_TX/FIFO/rp [1]),
- .o(\UART_TX/FIFO/n17 [1]));
- AL_MAP_LUT2 #(
- .EQN("(B@A)"),
- .INIT(4'h6))
- _al_u104 (
- .a(\UART_TX/FIFO/wp [0]),
- .b(\UART_TX/FIFO/wp [1]),
- .o(\UART_TX/FIFO/n5 [1]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u105 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[23]),
- .o(SPI_TX_Data[23]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u106 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[22]),
- .o(SPI_TX_Data[22]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u107 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[21]),
- .o(SPI_TX_Data[21]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u108 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[20]),
- .o(SPI_TX_Data[20]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u109 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[19]),
- .o(SPI_TX_Data[19]));
- EG_PHY_PAD #(
- //.LOCATION("N9"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u11 (
- .do({open_n2304,open_n2305,open_n2306,MSI_SDATA_pad}),
- .opad(MSI_SDATA)); // ../rtl/topmodule/CortexM0_SoC.v(15)
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u110 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[18]),
- .o(SPI_TX_Data[18]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u111 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[17]),
- .o(SPI_TX_Data[17]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u112 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[16]),
- .o(SPI_TX_Data[16]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u113 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[15]),
- .o(SPI_TX_Data[15]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u114 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[14]),
- .o(SPI_TX_Data[14]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u115 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[13]),
- .o(SPI_TX_Data[13]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u116 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[12]),
- .o(SPI_TX_Data[12]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u117 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[11]),
- .o(SPI_TX_Data[11]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u118 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[10]),
- .o(SPI_TX_Data[10]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u119 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[9]),
- .o(SPI_TX_Data[9]));
- EG_PHY_PAD #(
- //.HYSTERESIS("OFF"),
- //.LOCATION("A14"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("PULLUP"),
- .IOTYPE("LVCMOS33"),
- .MODE("IN"),
- .TSMUX("1"))
- _al_u12 (
- .ipad(RSTn),
- .di(RSTn_pad)); // ../rtl/topmodule/CortexM0_SoC.v(8)
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u120 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[8]),
- .o(SPI_TX_Data[8]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u121 (
- .a(\UART_Interface/wr_en_reg ),
- .b(HWDATA[7]),
- .o(UART_TX_data[7]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u122 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[7]),
- .o(SPI_TX_Data[7]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u123 (
- .a(\UART_Interface/wr_en_reg ),
- .b(HWDATA[6]),
- .o(UART_TX_data[6]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u124 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[6]),
- .o(SPI_TX_Data[6]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u125 (
- .a(\UART_Interface/wr_en_reg ),
- .b(HWDATA[5]),
- .o(UART_TX_data[5]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u126 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[5]),
- .o(SPI_TX_Data[5]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u127 (
- .a(\UART_Interface/wr_en_reg ),
- .b(HWDATA[4]),
- .o(UART_TX_data[4]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u128 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[4]),
- .o(SPI_TX_Data[4]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u129 (
- .a(\UART_Interface/wr_en_reg ),
- .b(HWDATA[3]),
- .o(UART_TX_data[3]));
- EG_PHY_PAD #(
- //.HYSTERESIS("OFF"),
- //.LOCATION("F12"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("PULLUP"),
- .IOTYPE("LVCMOS33"),
- .MODE("IN"),
- .TSMUX("1"))
- _al_u13 (
- .ipad(RXD),
- .di(RXD_pad)); // ../rtl/topmodule/CortexM0_SoC.v(13)
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u130 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[3]),
- .o(SPI_TX_Data[3]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u131 (
- .a(\UART_Interface/wr_en_reg ),
- .b(HWDATA[2]),
- .o(UART_TX_data[2]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u132 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[2]),
- .o(SPI_TX_Data[2]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u133 (
- .a(\UART_Interface/wr_en_reg ),
- .b(HWDATA[1]),
- .o(UART_TX_data[1]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u134 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[1]),
- .o(SPI_TX_Data[1]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u135 (
- .a(\UART_Interface/wr_en_reg ),
- .b(HWDATA[0]),
- .o(UART_TX_data[0]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u136 (
- .a(\SPI_Interface/wr_en_reg ),
- .b(HWDATA[0]),
- .o(SPI_TX_Data[0]));
- AL_MAP_LUT3 #(
- .EQN("(C@(B*A))"),
- .INIT(8'h78))
- _al_u137 (
- .a(\SPI_TX/FIFO_SPI/rp [0]),
- .b(\SPI_TX/FIFO_SPI/rp [1]),
- .c(\SPI_TX/FIFO_SPI/rp [2]),
- .o(\SPI_TX/FIFO_SPI/n17 [2]));
- AL_MAP_LUT3 #(
- .EQN("(C@(B*A))"),
- .INIT(8'h78))
- _al_u138 (
- .a(\SPI_TX/FIFO_SPI/wp [0]),
- .b(\SPI_TX/FIFO_SPI/wp [1]),
- .c(\SPI_TX/FIFO_SPI/wp [2]),
- .o(\SPI_TX/FIFO_SPI/n5 [2]));
- AL_MAP_LUT3 #(
- .EQN("(B@(C*A))"),
- .INIT(8'h6c))
- _al_u139 (
- .a(\UART_RX/counter [0]),
- .b(\UART_RX/counter [1]),
- .c(clk_uart),
- .o(\UART_RX/n9 [1]));
- EG_PHY_PAD #(
- //.HYSTERESIS("OFF"),
- //.LOCATION("P2"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("PULLUP"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("BI"),
- .TSMUX("INV"))
- _al_u14 (
- .do({open_n2355,open_n2356,open_n2357,SWDO}),
- .ts(SWDOEN),
- .di(SWDIO_pad),
- .bpad(SWDIO)); // ../rtl/topmodule/CortexM0_SoC.v(36)
- AL_MAP_LUT3 #(
- .EQN("(C@(B*A))"),
- .INIT(8'h78))
- _al_u140 (
- .a(\UART_TX/FIFO/rp [0]),
- .b(\UART_TX/FIFO/rp [1]),
- .c(\UART_TX/FIFO/rp [2]),
- .o(\UART_TX/FIFO/n17 [2]));
- AL_MAP_LUT3 #(
- .EQN("(C@(B*A))"),
- .INIT(8'h78))
- _al_u141 (
- .a(\UART_TX/FIFO/wp [0]),
- .b(\UART_TX/FIFO/wp [1]),
- .c(\UART_TX/FIFO/wp [2]),
- .o(\UART_TX/FIFO/n5 [2]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u142 (
- .a(\SPI_TX/MSI_clk_en ),
- .b(clk_pad),
- .o(MSI_SCLK_pad));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u143 (
- .a(\filter_unit/key_reg1 [0]),
- .b(\filter_unit/key_reg0 [0]),
- .o(\filter_unit/n9 [0]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u144 (
- .a(\filter_unit/key_reg1 [1]),
- .b(\filter_unit/key_reg0 [1]),
- .o(\filter_unit/n9 [1]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u145 (
- .a(\filter_unit/key_reg1 [10]),
- .b(\filter_unit/key_reg0 [10]),
- .o(\filter_unit/n9 [10]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u146 (
- .a(\filter_unit/key_reg1 [11]),
- .b(\filter_unit/key_reg0 [11]),
- .o(\filter_unit/n9 [11]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u147 (
- .a(\filter_unit/key_reg1 [12]),
- .b(\filter_unit/key_reg0 [12]),
- .o(\filter_unit/n9 [12]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u148 (
- .a(\filter_unit/key_reg1 [13]),
- .b(\filter_unit/key_reg0 [13]),
- .o(\filter_unit/n9 [13]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u149 (
- .a(\filter_unit/key_reg1 [14]),
- .b(\filter_unit/key_reg0 [14]),
- .o(\filter_unit/n9 [14]));
- EG_PHY_PAD #(
- //.LOCATION("D12"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u15 (
- .do({open_n2370,open_n2371,open_n2372,TXD_pad}),
- .opad(TXD)); // ../rtl/topmodule/CortexM0_SoC.v(12)
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u150 (
- .a(\filter_unit/key_reg1 [15]),
- .b(\filter_unit/key_reg0 [15]),
- .o(\filter_unit/n9 [15]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u151 (
- .a(\filter_unit/key_reg1 [2]),
- .b(\filter_unit/key_reg0 [2]),
- .o(\filter_unit/n9 [2]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u152 (
- .a(\filter_unit/key_reg1 [3]),
- .b(\filter_unit/key_reg0 [3]),
- .o(\filter_unit/n9 [3]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u153 (
- .a(\filter_unit/key_reg1 [4]),
- .b(\filter_unit/key_reg0 [4]),
- .o(\filter_unit/n9 [4]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u154 (
- .a(\filter_unit/key_reg1 [5]),
- .b(\filter_unit/key_reg0 [5]),
- .o(\filter_unit/n9 [5]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u155 (
- .a(\filter_unit/key_reg1 [6]),
- .b(\filter_unit/key_reg0 [6]),
- .o(\filter_unit/n9 [6]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u156 (
- .a(\filter_unit/key_reg1 [7]),
- .b(\filter_unit/key_reg0 [7]),
- .o(\filter_unit/n9 [7]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u157 (
- .a(\filter_unit/key_reg1 [8]),
- .b(\filter_unit/key_reg0 [8]),
- .o(\filter_unit/n9 [8]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u158 (
- .a(\filter_unit/key_reg1 [9]),
- .b(\filter_unit/key_reg0 [9]),
- .o(\filter_unit/n9 [9]));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u159 (
- .a(\Interconncet/SlaveMUX/hsel_reg [0]),
- .b(\Interconncet/SlaveMUX/hsel_reg [1]),
- .c(\Interconncet/SlaveMUX/hsel_reg [2]),
- .o(_al_u159_o));
- EG_PHY_PAD #(
- //.LOCATION("N8"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u16 (
- .do({open_n2387,open_n2388,open_n2389,audio_pwm_pad}),
- .opad(audio_pwm)); // ../rtl/topmodule/CortexM0_SoC.v(18)
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u160 (
- .a(\Interconncet/SlaveMUX/hsel_reg [4]),
- .b(\Interconncet/SlaveMUX/hsel_reg [5]),
- .o(_al_u160_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*A)"),
- .INIT(8'h7f))
- _al_u161 (
- .a(_al_u159_o),
- .b(_al_u160_o),
- .c(\Interconncet/SlaveMUX/hsel_reg [3]),
- .o(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ));
- AL_MAP_LUT4 #(
- .EQN("(C@(D*B*A))"),
- .INIT(16'h78f0))
- _al_u162 (
- .a(\UART_RX/counter [0]),
- .b(\UART_RX/counter [1]),
- .c(\UART_RX/counter [2]),
- .d(clk_uart),
- .o(\UART_RX/n9 [2]));
- AL_MAP_LUT4 #(
- .EQN("(D@(C*B*A))"),
- .INIT(16'h7f80))
- _al_u163 (
- .a(\UART_TX/FIFO/rp [0]),
- .b(\UART_TX/FIFO/rp [1]),
- .c(\UART_TX/FIFO/rp [2]),
- .d(\UART_TX/FIFO/rp [3]),
- .o(\UART_TX/FIFO/n17 [3]));
- AL_MAP_LUT4 #(
- .EQN("(D@(C*B*A))"),
- .INIT(16'h7f80))
- _al_u164 (
- .a(\UART_TX/FIFO/wp [0]),
- .b(\UART_TX/FIFO/wp [1]),
- .c(\UART_TX/FIFO/wp [2]),
- .d(\UART_TX/FIFO/wp [3]),
- .o(\UART_TX/FIFO/n5 [3]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u165 (
- .a(\UART_TX/counter [0]),
- .b(\UART_TX/counter [1]),
- .o(_al_u165_o));
- AL_MAP_LUT3 #(
- .EQN("(B@(C*A))"),
- .INIT(8'h6c))
- _al_u166 (
- .a(_al_u165_o),
- .b(\UART_TX/counter [2]),
- .c(clk_uart),
- .o(\UART_TX/n8 [2]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u167 (
- .a(\filter_unit/n9 [0]),
- .b(\pulse_gen_unit/key_reg_2 [0]),
- .o(key_interrupt[0]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u168 (
- .a(\filter_unit/n9 [1]),
- .b(\pulse_gen_unit/key_reg_2 [1]),
- .o(key_interrupt[1]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u169 (
- .a(\filter_unit/n9 [10]),
- .b(\pulse_gen_unit/key_reg_2 [10]),
- .o(key_interrupt[10]));
- EG_PHY_PAD #(
- //.HYSTERESIS("OFF"),
- //.LOCATION("R7"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("PULLUP"),
- .IOTYPE("LVCMOS33"),
- .MODE("IN"),
- .TSMUX("1"))
- _al_u17 (
- .ipad(clk),
- .di(clk_pad)); // ../rtl/topmodule/CortexM0_SoC.v(7)
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u170 (
- .a(\filter_unit/n9 [11]),
- .b(\pulse_gen_unit/key_reg_2 [11]),
- .o(key_interrupt[11]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u171 (
- .a(\filter_unit/n9 [12]),
- .b(\pulse_gen_unit/key_reg_2 [12]),
- .o(key_interrupt[12]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u172 (
- .a(\filter_unit/n9 [13]),
- .b(\pulse_gen_unit/key_reg_2 [13]),
- .o(key_interrupt[13]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u173 (
- .a(\filter_unit/n9 [14]),
- .b(\pulse_gen_unit/key_reg_2 [14]),
- .o(key_interrupt[14]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u174 (
- .a(\filter_unit/n9 [15]),
- .b(\pulse_gen_unit/key_reg_2 [15]),
- .o(key_interrupt[15]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u175 (
- .a(\filter_unit/n9 [2]),
- .b(\pulse_gen_unit/key_reg_2 [2]),
- .o(key_interrupt[2]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u176 (
- .a(\filter_unit/n9 [3]),
- .b(\pulse_gen_unit/key_reg_2 [3]),
- .o(key_interrupt[3]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u177 (
- .a(\filter_unit/n9 [4]),
- .b(\pulse_gen_unit/key_reg_2 [4]),
- .o(key_interrupt[4]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u178 (
- .a(\filter_unit/n9 [5]),
- .b(\pulse_gen_unit/key_reg_2 [5]),
- .o(key_interrupt[5]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u179 (
- .a(\filter_unit/n9 [6]),
- .b(\pulse_gen_unit/key_reg_2 [6]),
- .o(key_interrupt[6]));
- EG_PHY_PAD #(
- //.HYSTERESIS("OFF"),
- //.LOCATION("F10"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("PULLUP"),
- .IOTYPE("LVTTL33"),
- .MODE("IN"),
- .TSMUX("1"))
- _al_u18 (
- .ipad(col[3]),
- .di(col_pad[3])); // ../rtl/topmodule/CortexM0_SoC.v(21)
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u180 (
- .a(\filter_unit/n9 [7]),
- .b(\pulse_gen_unit/key_reg_2 [7]),
- .o(key_interrupt[7]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u181 (
- .a(\filter_unit/n9 [8]),
- .b(\pulse_gen_unit/key_reg_2 [8]),
- .o(key_interrupt[8]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u182 (
- .a(\filter_unit/n9 [9]),
- .b(\pulse_gen_unit/key_reg_2 [9]),
- .o(key_interrupt[9]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~(~B*~A))"),
- .INIT(16'h00e0))
- _al_u183 (
- .a(bps_en_rx),
- .b(bps_en_tx),
- .c(\clkuart_pwm/n4 [9]),
- .d(\clkuart_pwm/n1 ),
- .o(\clkuart_pwm/n5 [9]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~(~B*~A))"),
- .INIT(16'h00e0))
- _al_u184 (
- .a(bps_en_rx),
- .b(bps_en_tx),
- .c(\clkuart_pwm/n4 [8]),
- .d(\clkuart_pwm/n1 ),
- .o(\clkuart_pwm/n5 [8]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~(~B*~A))"),
- .INIT(16'h00e0))
- _al_u185 (
- .a(bps_en_rx),
- .b(bps_en_tx),
- .c(\clkuart_pwm/n4 [7]),
- .d(\clkuart_pwm/n1 ),
- .o(\clkuart_pwm/n5 [7]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~(~B*~A))"),
- .INIT(16'h00e0))
- _al_u186 (
- .a(bps_en_rx),
- .b(bps_en_tx),
- .c(\clkuart_pwm/n4 [6]),
- .d(\clkuart_pwm/n1 ),
- .o(\clkuart_pwm/n5 [6]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~(~B*~A))"),
- .INIT(16'h00e0))
- _al_u187 (
- .a(bps_en_rx),
- .b(bps_en_tx),
- .c(\clkuart_pwm/n4 [5]),
- .d(\clkuart_pwm/n1 ),
- .o(\clkuart_pwm/n5 [5]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~(~B*~A))"),
- .INIT(16'h00e0))
- _al_u188 (
- .a(bps_en_rx),
- .b(bps_en_tx),
- .c(\clkuart_pwm/n4 [4]),
- .d(\clkuart_pwm/n1 ),
- .o(\clkuart_pwm/n5 [4]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~(~B*~A))"),
- .INIT(16'h00e0))
- _al_u189 (
- .a(bps_en_rx),
- .b(bps_en_tx),
- .c(\clkuart_pwm/n4 [3]),
- .d(\clkuart_pwm/n1 ),
- .o(\clkuart_pwm/n5 [3]));
- EG_PHY_PAD #(
- //.HYSTERESIS("OFF"),
- //.LOCATION("C11"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("PULLUP"),
- .IOTYPE("LVTTL33"),
- .MODE("IN"),
- .TSMUX("1"))
- _al_u19 (
- .ipad(col[2]),
- .di(col_pad[2])); // ../rtl/topmodule/CortexM0_SoC.v(21)
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~(~B*~A))"),
- .INIT(16'h00e0))
- _al_u190 (
- .a(bps_en_rx),
- .b(bps_en_tx),
- .c(\clkuart_pwm/n4 [2]),
- .d(\clkuart_pwm/n1 ),
- .o(\clkuart_pwm/n5 [2]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~(~B*~A))"),
- .INIT(16'h00e0))
- _al_u191 (
- .a(bps_en_rx),
- .b(bps_en_tx),
- .c(\clkuart_pwm/n4 [12]),
- .d(\clkuart_pwm/n1 ),
- .o(\clkuart_pwm/n5 [12]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~(~B*~A))"),
- .INIT(16'h00e0))
- _al_u192 (
- .a(bps_en_rx),
- .b(bps_en_tx),
- .c(\clkuart_pwm/n4 [11]),
- .d(\clkuart_pwm/n1 ),
- .o(\clkuart_pwm/n5 [11]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~(~B*~A))"),
- .INIT(16'h00e0))
- _al_u193 (
- .a(bps_en_rx),
- .b(bps_en_tx),
- .c(\clkuart_pwm/n4 [10]),
- .d(\clkuart_pwm/n1 ),
- .o(\clkuart_pwm/n5 [10]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~(~B*~A))"),
- .INIT(16'h00e0))
- _al_u194 (
- .a(bps_en_rx),
- .b(bps_en_tx),
- .c(\clkuart_pwm/n4 [1]),
- .d(\clkuart_pwm/n1 ),
- .o(\clkuart_pwm/n5 [1]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~(~B*~A))"),
- .INIT(16'h00e0))
- _al_u195 (
- .a(bps_en_rx),
- .b(bps_en_tx),
- .c(\clkuart_pwm/n4 [0]),
- .d(\clkuart_pwm/n1 ),
- .o(\clkuart_pwm/n5 [0]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u196 (
- .a(row_pad[0]),
- .b(row_pad[1]),
- .o(_al_u196_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u197 (
- .a(_al_u196_o),
- .b(row_pad[2]),
- .c(row_pad[3]),
- .o(_al_u197_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u198 (
- .a(row_pad[0]),
- .b(row_pad[1]),
- .c(row_pad[2]),
- .d(row_pad[3]),
- .o(_al_u198_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u199 (
- .a(row_pad[0]),
- .b(row_pad[1]),
- .c(row_pad[2]),
- .d(row_pad[3]),
- .o(_al_u199_o));
- EG_PHY_PAD #(
- //.LOCATION("E13"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u2 (
- .do({open_n2455,open_n2456,open_n2457,LED_pad[5]}),
- .opad(LED[5])); // ../rtl/topmodule/CortexM0_SoC.v(11)
- EG_PHY_PAD #(
- //.HYSTERESIS("OFF"),
- //.LOCATION("D11"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("PULLUP"),
- .IOTYPE("LVTTL33"),
- .MODE("IN"),
- .TSMUX("1"))
- _al_u20 (
- .ipad(col[1]),
- .di(col_pad[1])); // ../rtl/topmodule/CortexM0_SoC.v(21)
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u200 (
- .a(_al_u197_o),
- .b(_al_u198_o),
- .c(_al_u199_o),
- .o(_al_u200_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*~A)"),
- .INIT(16'h4000))
- _al_u201 (
- .a(row_pad[0]),
- .b(row_pad[1]),
- .c(row_pad[2]),
- .d(row_pad[3]),
- .o(_al_u201_o));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u202 (
- .a(_al_u200_o),
- .b(_al_u201_o),
- .c(col_pad[0]),
- .d(key_in[0]),
- .o(\scan_unit/n13 [0]));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u203 (
- .a(_al_u200_o),
- .b(_al_u201_o),
- .c(col_pad[1]),
- .d(key_in[1]),
- .o(\scan_unit/n13 [1]));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u204 (
- .a(_al_u197_o),
- .b(_al_u198_o),
- .c(_al_u201_o),
- .o(_al_u204_o));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u205 (
- .a(_al_u204_o),
- .b(_al_u199_o),
- .c(col_pad[2]),
- .d(key_in[10]),
- .o(\scan_unit/n13 [10]));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u206 (
- .a(_al_u204_o),
- .b(_al_u199_o),
- .c(col_pad[3]),
- .d(key_in[11]),
- .o(\scan_unit/n13 [11]));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u207 (
- .a(_al_u197_o),
- .b(_al_u199_o),
- .c(_al_u201_o),
- .o(_al_u207_o));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u208 (
- .a(_al_u207_o),
- .b(_al_u198_o),
- .c(col_pad[0]),
- .d(key_in[12]),
- .o(\scan_unit/n13 [12]));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u209 (
- .a(_al_u207_o),
- .b(_al_u198_o),
- .c(col_pad[1]),
- .d(key_in[13]),
- .o(\scan_unit/n13 [13]));
- EG_PHY_PAD #(
- //.HYSTERESIS("OFF"),
- //.LOCATION("E11"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("PULLUP"),
- .IOTYPE("LVTTL33"),
- .MODE("IN"),
- .TSMUX("1"))
- _al_u21 (
- .ipad(col[0]),
- .di(col_pad[0])); // ../rtl/topmodule/CortexM0_SoC.v(21)
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u210 (
- .a(_al_u207_o),
- .b(_al_u198_o),
- .c(col_pad[2]),
- .d(key_in[14]),
- .o(\scan_unit/n13 [14]));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u211 (
- .a(_al_u207_o),
- .b(_al_u198_o),
- .c(col_pad[3]),
- .d(key_in[15]),
- .o(\scan_unit/n13 [15]));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u212 (
- .a(_al_u200_o),
- .b(_al_u201_o),
- .c(col_pad[2]),
- .d(key_in[2]),
- .o(\scan_unit/n13 [2]));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u213 (
- .a(_al_u200_o),
- .b(_al_u201_o),
- .c(col_pad[3]),
- .d(key_in[3]),
- .o(\scan_unit/n13 [3]));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u214 (
- .a(_al_u198_o),
- .b(_al_u199_o),
- .c(_al_u201_o),
- .o(_al_u214_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u215 (
- .a(_al_u196_o),
- .b(col_pad[0]),
- .c(row_pad[2]),
- .d(row_pad[3]),
- .o(_al_u215_o));
- AL_MAP_LUT3 #(
- .EQN("~(~B*~(C*~A))"),
- .INIT(8'hdc))
- _al_u216 (
- .a(_al_u214_o),
- .b(_al_u215_o),
- .c(key_in[4]),
- .o(\scan_unit/n13 [4]));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u217 (
- .a(_al_u214_o),
- .b(_al_u197_o),
- .c(col_pad[1]),
- .d(key_in[5]),
- .o(\scan_unit/n13 [5]));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u218 (
- .a(_al_u214_o),
- .b(_al_u197_o),
- .c(col_pad[2]),
- .d(key_in[6]),
- .o(\scan_unit/n13 [6]));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u219 (
- .a(_al_u214_o),
- .b(_al_u197_o),
- .c(col_pad[3]),
- .d(key_in[7]),
- .o(\scan_unit/n13 [7]));
- EG_PHY_PAD #(
- //.LOCATION("D9"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVTTL33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u22 (
- .do({open_n2506,open_n2507,open_n2508,row_pad[3]}),
- .opad(row[3])); // ../rtl/topmodule/CortexM0_SoC.v(22)
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u220 (
- .a(_al_u204_o),
- .b(_al_u199_o),
- .c(col_pad[0]),
- .d(key_in[8]),
- .o(\scan_unit/n13 [8]));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*~A))"),
- .INIT(16'hd5c0))
- _al_u221 (
- .a(_al_u204_o),
- .b(_al_u199_o),
- .c(col_pad[1]),
- .d(key_in[9]),
- .o(\scan_unit/n13 [9]));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u222 (
- .a(\UART_RX/counter [1]),
- .b(\UART_RX/counter [2]),
- .c(\UART_RX/counter [3]),
- .o(_al_u222_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u223 (
- .a(_al_u222_o),
- .b(\UART_RX/counter [0]),
- .o(interrupt_UART));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
- .INIT(16'h8af8))
- _al_u224 (
- .a(HADDR[1]),
- .b(HADDR[0]),
- .c(HSIZE[1]),
- .d(HSIZE[0]),
- .o(\FMDATA_Interface/sel0_b3_sel_o ));
- AL_MAP_LUT4 #(
- .EQN("~(~(~B*A)*~(D)*~(C)+~(~B*A)*D*~(C)+~(~(~B*A))*D*C+~(~B*A)*D*C)"),
- .INIT(16'h02f2))
- _al_u225 (
- .a(HADDR[1]),
- .b(HADDR[0]),
- .c(HSIZE[1]),
- .d(HSIZE[0]),
- .o(\FMDATA_Interface/sel0_b2_sel_o ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
- .INIT(16'h45f4))
- _al_u226 (
- .a(HADDR[1]),
- .b(HADDR[0]),
- .c(HSIZE[1]),
- .d(HSIZE[0]),
- .o(\FMDATA_Interface/sel0_b1_sel_o ));
- AL_MAP_LUT4 #(
- .EQN("~(~(~B*~A)*~(D)*~(C)+~(~B*~A)*D*~(C)+~(~(~B*~A))*D*C+~(~B*~A)*D*C)"),
- .INIT(16'h01f1))
- _al_u227 (
- .a(HADDR[1]),
- .b(HADDR[0]),
- .c(HSIZE[1]),
- .d(HSIZE[0]),
- .o(\FMDATA_Interface/sel0_b0_sel_o ));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*~A))"),
- .INIT(8'h0e))
- _al_u228 (
- .a(_al_u159_o),
- .b(_al_u160_o),
- .c(\Interconncet/SlaveMUX/hsel_reg [3]),
- .o(_al_u228_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
- .INIT(16'h8cae))
- _al_u229 (
- .a(\Interconncet/SlaveMUX/hsel_reg [4]),
- .b(\Interconncet/SlaveMUX/hsel_reg [5]),
- .c(RAMCODE_RDATA[9]),
- .d(RAMDATA_RDATA[9]),
- .o(_al_u229_o));
- EG_PHY_PAD #(
- //.LOCATION("F9"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVTTL33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u23 (
- .do({open_n2523,open_n2524,open_n2525,row_pad[2]}),
- .opad(row[2])); // ../rtl/topmodule/CortexM0_SoC.v(22)
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u230 (
- .a(\Interconncet/SlaveMUX/hsel_reg [0]),
- .b(\Interconncet/SlaveMUX/hsel_reg [1]),
- .c(\Interconncet/SlaveMUX/hsel_reg [2]),
- .o(_al_u230_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*A*~(D*~(E*C)))"),
- .INIT(32'h20220022))
- _al_u231 (
- .a(_al_u228_o),
- .b(_al_u229_o),
- .c(_al_u230_o),
- .d(_al_u160_o),
- .e(FMDATA_RDATA[9]),
- .o(HRDATA[9]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
- .INIT(16'h8cae))
- _al_u232 (
- .a(\Interconncet/SlaveMUX/hsel_reg [4]),
- .b(\Interconncet/SlaveMUX/hsel_reg [5]),
- .c(RAMCODE_RDATA[8]),
- .d(RAMDATA_RDATA[8]),
- .o(_al_u232_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*A*~(D*~(E*C)))"),
- .INIT(32'h20220022))
- _al_u233 (
- .a(_al_u228_o),
- .b(_al_u232_o),
- .c(_al_u230_o),
- .d(_al_u160_o),
- .e(FMDATA_RDATA[8]),
- .o(HRDATA[8]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
- .INIT(16'h8cae))
- _al_u234 (
- .a(\Interconncet/SlaveMUX/hsel_reg [4]),
- .b(\Interconncet/SlaveMUX/hsel_reg [5]),
- .c(RAMCODE_RDATA[11]),
- .d(RAMDATA_RDATA[11]),
- .o(_al_u234_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*A*~(D*~(E*C)))"),
- .INIT(32'h20220022))
- _al_u235 (
- .a(_al_u228_o),
- .b(_al_u234_o),
- .c(_al_u230_o),
- .d(_al_u160_o),
- .e(FMDATA_RDATA[11]),
- .o(HRDATA[11]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
- .INIT(16'h8cae))
- _al_u236 (
- .a(\Interconncet/SlaveMUX/hsel_reg [4]),
- .b(\Interconncet/SlaveMUX/hsel_reg [5]),
- .c(RAMCODE_RDATA[10]),
- .d(RAMDATA_RDATA[10]),
- .o(_al_u236_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*A*~(D*~(E*C)))"),
- .INIT(32'h20220022))
- _al_u237 (
- .a(_al_u228_o),
- .b(_al_u236_o),
- .c(_al_u230_o),
- .d(_al_u160_o),
- .e(FMDATA_RDATA[10]),
- .o(HRDATA[10]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
- .INIT(16'h8cae))
- _al_u238 (
- .a(\Interconncet/SlaveMUX/hsel_reg [4]),
- .b(\Interconncet/SlaveMUX/hsel_reg [5]),
- .c(RAMCODE_RDATA[13]),
- .d(RAMDATA_RDATA[13]),
- .o(_al_u238_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u239 (
- .a(_al_u238_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [1]),
- .c(\Interconncet/SlaveMUX/hsel_reg [2]),
- .d(\Interconncet/SlaveMUX/hsel_reg [3]),
- .o(_al_u239_o));
- EG_PHY_PAD #(
- //.LOCATION("C10"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVTTL33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u24 (
- .do({open_n2540,open_n2541,open_n2542,row_pad[1]}),
- .opad(row[1])); // ../rtl/topmodule/CortexM0_SoC.v(22)
- AL_MAP_LUT4 #(
- .EQN("(A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*D))"),
- .INIT(16'h8022))
- _al_u240 (
- .a(_al_u239_o),
- .b(_al_u160_o),
- .c(FMDATA_RDATA[13]),
- .d(\Interconncet/SlaveMUX/hsel_reg [0]),
- .o(HRDATA[13]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
- .INIT(16'h8cae))
- _al_u241 (
- .a(\Interconncet/SlaveMUX/hsel_reg [4]),
- .b(\Interconncet/SlaveMUX/hsel_reg [5]),
- .c(RAMCODE_RDATA[12]),
- .d(RAMDATA_RDATA[12]),
- .o(_al_u241_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u242 (
- .a(_al_u241_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [1]),
- .c(\Interconncet/SlaveMUX/hsel_reg [2]),
- .d(\Interconncet/SlaveMUX/hsel_reg [3]),
- .o(_al_u242_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*D))"),
- .INIT(16'h8022))
- _al_u243 (
- .a(_al_u242_o),
- .b(_al_u160_o),
- .c(FMDATA_RDATA[12]),
- .d(\Interconncet/SlaveMUX/hsel_reg [0]),
- .o(HRDATA[12]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
- .INIT(16'h8cae))
- _al_u244 (
- .a(\Interconncet/SlaveMUX/hsel_reg [4]),
- .b(\Interconncet/SlaveMUX/hsel_reg [5]),
- .c(RAMCODE_RDATA[15]),
- .d(RAMDATA_RDATA[15]),
- .o(_al_u244_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*A*~(D*~(E*C)))"),
- .INIT(32'h20220022))
- _al_u245 (
- .a(_al_u228_o),
- .b(_al_u244_o),
- .c(_al_u230_o),
- .d(_al_u160_o),
- .e(FMDATA_RDATA[15]),
- .o(HRDATA[15]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
- .INIT(16'h8cae))
- _al_u246 (
- .a(\Interconncet/SlaveMUX/hsel_reg [4]),
- .b(\Interconncet/SlaveMUX/hsel_reg [5]),
- .c(RAMCODE_RDATA[14]),
- .d(RAMDATA_RDATA[14]),
- .o(_al_u246_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u247 (
- .a(_al_u246_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [1]),
- .c(\Interconncet/SlaveMUX/hsel_reg [2]),
- .d(\Interconncet/SlaveMUX/hsel_reg [3]),
- .o(_al_u247_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*D))"),
- .INIT(16'h8022))
- _al_u248 (
- .a(_al_u247_o),
- .b(_al_u160_o),
- .c(FMDATA_RDATA[14]),
- .d(\Interconncet/SlaveMUX/hsel_reg [0]),
- .o(HRDATA[14]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u249 (
- .a(_al_u159_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [3]),
- .o(_al_u249_o));
- EG_PHY_PAD #(
- //.LOCATION("E10"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVTTL33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u25 (
- .do({open_n2557,open_n2558,open_n2559,row_pad[0]}),
- .opad(row[0])); // ../rtl/topmodule/CortexM0_SoC.v(22)
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u250 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[17]),
- .e(RAMDATA_RDATA[17]),
- .o(HRDATA[17]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
- .INIT(16'h8cae))
- _al_u251 (
- .a(\Interconncet/SlaveMUX/hsel_reg [4]),
- .b(\Interconncet/SlaveMUX/hsel_reg [5]),
- .c(RAMCODE_RDATA[16]),
- .d(RAMDATA_RDATA[16]),
- .o(_al_u251_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*A*~(D*~(E*C)))"),
- .INIT(32'h20220022))
- _al_u252 (
- .a(_al_u228_o),
- .b(_al_u251_o),
- .c(_al_u230_o),
- .d(_al_u160_o),
- .e(FMDATA_RDATA[16]),
- .o(HRDATA[16]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u253 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[19]),
- .e(RAMDATA_RDATA[19]),
- .o(HRDATA[19]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u254 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[18]),
- .e(RAMDATA_RDATA[18]),
- .o(HRDATA[18]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u255 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[21]),
- .e(RAMDATA_RDATA[21]),
- .o(HRDATA[21]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u256 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[20]),
- .e(RAMDATA_RDATA[20]),
- .o(HRDATA[20]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u257 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[23]),
- .e(RAMDATA_RDATA[23]),
- .o(HRDATA[23]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u258 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[22]),
- .e(RAMDATA_RDATA[22]),
- .o(HRDATA[22]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u259 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[25]),
- .e(RAMDATA_RDATA[25]),
- .o(HRDATA[25]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u260 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[24]),
- .e(RAMDATA_RDATA[24]),
- .o(HRDATA[24]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u261 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[27]),
- .e(RAMDATA_RDATA[27]),
- .o(HRDATA[27]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u262 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[26]),
- .e(RAMDATA_RDATA[26]),
- .o(HRDATA[26]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u263 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[29]),
- .e(RAMDATA_RDATA[29]),
- .o(HRDATA[29]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u264 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[28]),
- .e(RAMDATA_RDATA[28]),
- .o(HRDATA[28]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u265 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[31]),
- .e(RAMDATA_RDATA[31]),
- .o(HRDATA[31]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h28082000))
- _al_u266 (
- .a(_al_u249_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[30]),
- .e(RAMDATA_RDATA[30]),
- .o(HRDATA[30]));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u267 (
- .a(\SPI_TX/FIFO_SPI/rp [0]),
- .b(\SPI_TX/FIFO_SPI/rp [1]),
- .c(\SPI_TX/FIFO_SPI/rp [2]),
- .o(\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ));
- AL_MAP_LUT3 #(
- .EQN("(A*B*~(C)+~(A)*~(B)*C+~(A)*B*C)"),
- .INIT(8'h58))
- _al_u268 (
- .a(\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ),
- .b(\SPI_TX/FIFO_SPI/rp [3]),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(\SPI_TX/FIFO_SPI/n18 [4]));
- AL_MAP_LUT3 #(
- .EQN("(A*~(B)*~(C)+~(A)*B*~(C)+~(A)*B*C)"),
- .INIT(8'h46))
- _al_u269 (
- .a(\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ),
- .b(\SPI_TX/FIFO_SPI/rp [3]),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(\SPI_TX/FIFO_SPI/n18 [3]));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u270 (
- .a(\SPI_TX/FIFO_SPI/wp [0]),
- .b(\SPI_TX/FIFO_SPI/wp [1]),
- .c(\SPI_TX/FIFO_SPI/wp [2]),
- .o(\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ));
- AL_MAP_LUT3 #(
- .EQN("(A*B*~(C)+~(A)*~(B)*C+~(A)*B*C)"),
- .INIT(8'h58))
- _al_u271 (
- .a(\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ),
- .b(\SPI_TX/FIFO_SPI/wp [3]),
- .c(\SPI_TX/FIFO_SPI/wp [4]),
- .o(\SPI_TX/FIFO_SPI/n6 [4]));
- AL_MAP_LUT3 #(
- .EQN("(A*~(B)*~(C)+~(A)*B*~(C)+~(A)*B*C)"),
- .INIT(8'h46))
- _al_u272 (
- .a(\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ),
- .b(\SPI_TX/FIFO_SPI/wp [3]),
- .c(\SPI_TX/FIFO_SPI/wp [4]),
- .o(\SPI_TX/FIFO_SPI/n6 [3]));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u273 (
- .a(\UART_RX/counter [0]),
- .b(\UART_RX/counter [1]),
- .c(\UART_RX/counter [2]),
- .d(clk_uart),
- .o(_al_u273_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C)*~((~D*A))+~(B)*C*~((~D*A))+B*~(C)*(~D*A))"),
- .INIT(16'h3c1c))
- _al_u274 (
- .a(interrupt_UART),
- .b(_al_u273_o),
- .c(\UART_RX/counter [3]),
- .d(clk_uart),
- .o(\UART_RX/n9 [3]));
- AL_MAP_LUT3 #(
- .EQN("(~(A)*B*~(C)+~(A)*~(B)*C+A*~(B)*C)"),
- .INIT(8'h34))
- _al_u275 (
- .a(_al_u222_o),
- .b(\UART_RX/counter [0]),
- .c(clk_uart),
- .o(\UART_RX/n9 [0]));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'hacf0))
- _al_u276 (
- .a(\UART_TX/FIFOdata [6]),
- .b(\UART_TX/FIFOdata [4]),
- .c(\UART_TX/counter [1]),
- .d(\UART_TX/counter [0]),
- .o(_al_u276_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u277 (
- .a(\UART_TX/FIFOdata [3]),
- .b(\UART_TX/FIFOdata [5]),
- .c(_al_u276_o),
- .d(\UART_TX/counter [0]),
- .o(_al_u277_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E)"),
- .INIT(32'h55330fff))
- _al_u278 (
- .a(\UART_TX/FIFOdata [2]),
- .b(\UART_TX/FIFOdata [1]),
- .c(\UART_TX/FIFOdata [0]),
- .d(\UART_TX/counter [0]),
- .e(\UART_TX/counter [1]),
- .o(_al_u278_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u279 (
- .a(_al_u277_o),
- .b(_al_u278_o),
- .c(\UART_TX/counter [2]),
- .o(_al_u279_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(~B*~A))"),
- .INIT(8'he0))
- _al_u280 (
- .a(\UART_TX/FIFOdata [7]),
- .b(\UART_TX/counter [0]),
- .c(\UART_TX/counter [3]),
- .o(_al_u280_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(C*~(~B*~A)))"),
- .INIT(16'h1f00))
- _al_u281 (
- .a(\UART_TX/counter [1]),
- .b(\UART_TX/counter [2]),
- .c(\UART_TX/counter [3]),
- .d(clk_uart),
- .o(\UART_TX/n11 ));
- AL_MAP_LUT5 #(
- .EQN("~(E*(~D*~((~B*A))*~(C)+~D*(~B*A)*~(C)+~(~D)*(~B*A)*C+~D*(~B*A)*C))"),
- .INIT(32'hdfd0ffff))
- _al_u282 (
- .a(_al_u279_o),
- .b(_al_u280_o),
- .c(\UART_TX/n11 ),
- .d(TXD_pad),
- .e(bps_en_tx),
- .o(\UART_TX/n14 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D)"),
- .INIT(16'h78d0))
- _al_u283 (
- .a(_al_u165_o),
- .b(\UART_TX/counter [2]),
- .c(\UART_TX/counter [3]),
- .d(clk_uart),
- .o(\UART_TX/n8 [3]));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u284 (
- .a(_al_u165_o),
- .b(\UART_TX/counter [2]),
- .c(\UART_TX/counter [3]),
- .o(\UART_TX/trans_finish_lutinv ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*C*~((D*B))+~(A)*~(C)*(D*B)+A*~(C)*(D*B))"),
- .INIT(16'h1c50))
- _al_u285 (
- .a(\UART_TX/trans_finish_lutinv ),
- .b(\UART_TX/counter [0]),
- .c(\UART_TX/counter [1]),
- .d(clk_uart),
- .o(\UART_TX/n8 [1]));
- AL_MAP_LUT3 #(
- .EQN("(~A*(C@B))"),
- .INIT(8'h14))
- _al_u286 (
- .a(\UART_TX/trans_finish_lutinv ),
- .b(\UART_TX/counter [0]),
- .c(clk_uart),
- .o(\UART_TX/n8 [0]));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u287 (
- .a(\clkuart_pwm/cnt [3]),
- .b(\clkuart_pwm/cnt [4]),
- .c(\clkuart_pwm/cnt [5]),
- .d(\clkuart_pwm/cnt [6]),
- .o(_al_u287_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u288 (
- .a(_al_u287_o),
- .b(\clkuart_pwm/cnt [7]),
- .c(\clkuart_pwm/cnt [8]),
- .d(\clkuart_pwm/cnt [9]),
- .o(_al_u288_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u289 (
- .a(\clkuart_pwm/cnt [10]),
- .b(\clkuart_pwm/cnt [11]),
- .c(\clkuart_pwm/cnt [12]),
- .d(\clkuart_pwm/cnt [2]),
- .o(_al_u289_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u290 (
- .a(_al_u288_o),
- .b(_al_u289_o),
- .c(\clkuart_pwm/cnt [0]),
- .d(\clkuart_pwm/cnt [1]),
- .o(\clkuart_pwm/n6 ));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u291 (
- .a(HADDR[24]),
- .b(HADDR[23]),
- .c(HADDR[22]),
- .d(HADDR[21]),
- .o(_al_u291_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u292 (
- .a(_al_u291_o),
- .b(HADDR[20]),
- .c(HADDR[19]),
- .d(HADDR[18]),
- .o(_al_u292_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u293 (
- .a(_al_u292_o),
- .b(HADDR[28]),
- .c(HADDR[17]),
- .o(_al_u293_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u294 (
- .a(HADDR[27]),
- .b(HADDR[26]),
- .c(HADDR[25]),
- .o(_al_u294_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u295 (
- .a(_al_u294_o),
- .b(HADDR[29]),
- .o(_al_u295_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u296 (
- .a(HADDR[31]),
- .b(HADDR[30]),
- .c(HADDR[16]),
- .o(_al_u296_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u297 (
- .a(_al_u293_o),
- .b(_al_u295_o),
- .c(_al_u296_o),
- .o(HSEL_P0));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*~A)"),
- .INIT(16'h0010))
- _al_u298 (
- .a(\SPI_TX/counter [10]),
- .b(\SPI_TX/counter [3]),
- .c(\SPI_TX/counter [4]),
- .d(\SPI_TX/counter [8]),
- .o(_al_u298_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u299 (
- .a(_al_u298_o),
- .b(\SPI_TX/counter [11]),
- .c(\SPI_TX/counter [12]),
- .d(\SPI_TX/counter [13]),
- .e(\SPI_TX/counter [5]),
- .o(_al_u299_o));
- EG_PHY_PAD #(
- //.LOCATION("C16"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u3 (
- .do({open_n2574,open_n2575,open_n2576,LED_pad[4]}),
- .opad(LED[4])); // ../rtl/topmodule/CortexM0_SoC.v(11)
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u300 (
- .a(\SPI_TX/counter [0]),
- .b(\SPI_TX/counter [1]),
- .c(\SPI_TX/counter [2]),
- .o(_al_u300_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*~C*B*A)"),
- .INIT(32'h08000000))
- _al_u301 (
- .a(_al_u299_o),
- .b(_al_u300_o),
- .c(\SPI_TX/counter [6]),
- .d(\SPI_TX/counter [7]),
- .e(\SPI_TX/counter [9]),
- .o(\SPI_TX/trans_finish_lutinv ));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u302 (
- .a(\SPI_TX/trans_finish_lutinv ),
- .b(\SPI_TX/n31 [9]),
- .c(\SPI_TX/count_en ),
- .d(\SPI_TX/counter [9]),
- .o(\SPI_TX/n33 [9]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u303 (
- .a(\SPI_TX/trans_finish_lutinv ),
- .b(\SPI_TX/n31 [8]),
- .c(\SPI_TX/count_en ),
- .d(\SPI_TX/counter [8]),
- .o(\SPI_TX/n33 [8]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u304 (
- .a(\SPI_TX/trans_finish_lutinv ),
- .b(\SPI_TX/n31 [7]),
- .c(\SPI_TX/count_en ),
- .d(\SPI_TX/counter [7]),
- .o(\SPI_TX/n33 [7]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u305 (
- .a(\SPI_TX/trans_finish_lutinv ),
- .b(\SPI_TX/n31 [6]),
- .c(\SPI_TX/count_en ),
- .d(\SPI_TX/counter [6]),
- .o(\SPI_TX/n33 [6]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u306 (
- .a(\SPI_TX/trans_finish_lutinv ),
- .b(\SPI_TX/n31 [5]),
- .c(\SPI_TX/count_en ),
- .d(\SPI_TX/counter [5]),
- .o(\SPI_TX/n33 [5]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u307 (
- .a(\SPI_TX/trans_finish_lutinv ),
- .b(\SPI_TX/n31 [4]),
- .c(\SPI_TX/count_en ),
- .d(\SPI_TX/counter [4]),
- .o(\SPI_TX/n33 [4]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u308 (
- .a(\SPI_TX/trans_finish_lutinv ),
- .b(\SPI_TX/n31 [3]),
- .c(\SPI_TX/count_en ),
- .d(\SPI_TX/counter [3]),
- .o(\SPI_TX/n33 [3]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u309 (
- .a(\SPI_TX/trans_finish_lutinv ),
- .b(\SPI_TX/n31 [2]),
- .c(\SPI_TX/count_en ),
- .d(\SPI_TX/counter [2]),
- .o(\SPI_TX/n33 [2]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u310 (
- .a(\SPI_TX/trans_finish_lutinv ),
- .b(\SPI_TX/n31 [13]),
- .c(\SPI_TX/count_en ),
- .d(\SPI_TX/counter [13]),
- .o(\SPI_TX/n33 [13]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u311 (
- .a(\SPI_TX/trans_finish_lutinv ),
- .b(\SPI_TX/n31 [12]),
- .c(\SPI_TX/count_en ),
- .d(\SPI_TX/counter [12]),
- .o(\SPI_TX/n33 [12]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u312 (
- .a(\SPI_TX/trans_finish_lutinv ),
- .b(\SPI_TX/n31 [11]),
- .c(\SPI_TX/count_en ),
- .d(\SPI_TX/counter [11]),
- .o(\SPI_TX/n33 [11]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u313 (
- .a(\SPI_TX/trans_finish_lutinv ),
- .b(\SPI_TX/n31 [10]),
- .c(\SPI_TX/count_en ),
- .d(\SPI_TX/counter [10]),
- .o(\SPI_TX/n33 [10]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u314 (
- .a(\SPI_TX/trans_finish_lutinv ),
- .b(\SPI_TX/n31 [1]),
- .c(\SPI_TX/count_en ),
- .d(\SPI_TX/counter [1]),
- .o(\SPI_TX/n33 [1]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u315 (
- .a(\SPI_TX/trans_finish_lutinv ),
- .b(\SPI_TX/n31 [0]),
- .c(\SPI_TX/count_en ),
- .d(\SPI_TX/counter [0]),
- .o(\SPI_TX/n33 [0]));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u316 (
- .a(bps_en_rx),
- .b(\UART_RX/counter [0]),
- .c(clk_uart),
- .o(_al_u316_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*(B@A))"),
- .INIT(16'h0060))
- _al_u317 (
- .a(\UART_RX/counter [0]),
- .b(\UART_RX/counter [1]),
- .c(\UART_RX/counter [2]),
- .d(\UART_RX/counter [3]),
- .o(_al_u317_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u318 (
- .a(_al_u316_o),
- .b(_al_u317_o),
- .o(\UART_RX/mux5_b4_sel_is_3_o ));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u319 (
- .a(bps_en_rx),
- .b(\UART_RX/counter [0]),
- .c(clk_uart),
- .o(_al_u319_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u320 (
- .a(_al_u319_o),
- .b(_al_u317_o),
- .o(\UART_RX/mux5_b5_sel_is_3_o ));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u321 (
- .a(_al_u273_o),
- .b(bps_en_rx),
- .c(\UART_RX/counter [3]),
- .o(\UART_RX/mux5_b6_sel_is_3_o ));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u322 (
- .a(_al_u222_o),
- .b(bps_en_rx),
- .c(\UART_RX/counter [0]),
- .d(clk_uart),
- .o(\UART_RX/mux5_b7_sel_is_3_o ));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*(B@A))"),
- .INIT(16'h0006))
- _al_u323 (
- .a(\UART_RX/counter [0]),
- .b(\UART_RX/counter [1]),
- .c(\UART_RX/counter [2]),
- .d(\UART_RX/counter [3]),
- .o(_al_u323_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u324 (
- .a(_al_u316_o),
- .b(_al_u323_o),
- .o(\UART_RX/mux5_b0_sel_is_3_o ));
- AL_MAP_LUT4 #(
- .EQN("(~D*(A*B*~(C)+~(A)*~(B)*C))"),
- .INIT(16'h0018))
- _al_u325 (
- .a(\UART_RX/counter [0]),
- .b(\UART_RX/counter [1]),
- .c(\UART_RX/counter [2]),
- .d(\UART_RX/counter [3]),
- .o(_al_u325_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u326 (
- .a(_al_u319_o),
- .b(_al_u325_o),
- .o(\UART_RX/mux5_b3_sel_is_3_o ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u327 (
- .a(_al_u316_o),
- .b(_al_u325_o),
- .o(\UART_RX/mux5_b2_sel_is_3_o ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u328 (
- .a(_al_u319_o),
- .b(_al_u323_o),
- .o(\UART_RX/mux5_b1_sel_is_3_o ));
- AL_MAP_LUT4 #(
- .EQN("(~(D@B)*~(C@A))"),
- .INIT(16'h8421))
- _al_u329 (
- .a(\UART_TX/FIFO/rp [0]),
- .b(\UART_TX/FIFO/rp [1]),
- .c(\UART_TX/FIFO/wp [0]),
- .d(\UART_TX/FIFO/wp [1]),
- .o(_al_u329_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E@C)*~(D@B))"),
- .INIT(32'h80200802))
- _al_u330 (
- .a(_al_u329_o),
- .b(\UART_TX/FIFO/rp [2]),
- .c(\UART_TX/FIFO/rp [3]),
- .d(\UART_TX/FIFO/wp [2]),
- .e(\UART_TX/FIFO/wp [3]),
- .o(\UART_TX/FIFO/n25_lutinv ));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C@B))"),
- .INIT(8'h82))
- _al_u331 (
- .a(\UART_TX/FIFO/n25_lutinv ),
- .b(\UART_TX/FIFO/r_flag ),
- .c(\UART_TX/FIFO/w_flag ),
- .o(_al_u331_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u332 (
- .a(_al_u331_o),
- .b(\UART_TX/trans_finish_lutinv ),
- .o(\UART_TX/FIFOrd_en ));
- AL_MAP_LUT3 #(
- .EQN("(A*(C@B))"),
- .INIT(8'h28))
- _al_u333 (
- .a(\UART_TX/FIFO/n25_lutinv ),
- .b(\UART_TX/FIFO/r_flag ),
- .c(\UART_TX/FIFO/w_flag ),
- .o(_al_u333_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u334 (
- .a(_al_u333_o),
- .b(\UART_Interface/wr_en_reg ),
- .o(\UART_TX/FIFOwr_en ));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u335 (
- .a(\filter_unit/cnt [16]),
- .b(\filter_unit/cnt [17]),
- .c(\filter_unit/cnt [18]),
- .d(\filter_unit/cnt [19]),
- .o(_al_u335_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u336 (
- .a(_al_u335_o),
- .b(\filter_unit/cnt [2]),
- .c(\filter_unit/cnt [3]),
- .d(\filter_unit/cnt [4]),
- .e(\filter_unit/cnt [5]),
- .o(_al_u336_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*~A)"),
- .INIT(16'h0010))
- _al_u337 (
- .a(\filter_unit/cnt [12]),
- .b(\filter_unit/cnt [13]),
- .c(\filter_unit/cnt [14]),
- .d(\filter_unit/cnt [15]),
- .o(_al_u337_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u338 (
- .a(\filter_unit/cnt [0]),
- .b(\filter_unit/cnt [1]),
- .c(\filter_unit/cnt [10]),
- .d(\filter_unit/cnt [11]),
- .o(_al_u338_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*~A)"),
- .INIT(16'h0100))
- _al_u339 (
- .a(\filter_unit/cnt [6]),
- .b(\filter_unit/cnt [7]),
- .c(\filter_unit/cnt [8]),
- .d(\filter_unit/cnt [9]),
- .o(_al_u339_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u340 (
- .a(_al_u336_o),
- .b(_al_u337_o),
- .c(_al_u338_o),
- .d(_al_u339_o),
- .o(\filter_unit/n3 ));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u341 (
- .a(\scan_unit/cnt [12]),
- .b(\scan_unit/cnt [13]),
- .c(\scan_unit/cnt [14]),
- .d(\scan_unit/cnt [15]),
- .o(_al_u341_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u342 (
- .a(\scan_unit/cnt [0]),
- .b(\scan_unit/cnt [1]),
- .c(\scan_unit/cnt [10]),
- .d(\scan_unit/cnt [11]),
- .o(_al_u342_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u343 (
- .a(\scan_unit/cnt [2]),
- .b(\scan_unit/cnt [20]),
- .c(\scan_unit/cnt [21]),
- .d(\scan_unit/cnt [22]),
- .o(_al_u343_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u344 (
- .a(\scan_unit/cnt [16]),
- .b(\scan_unit/cnt [17]),
- .c(\scan_unit/cnt [18]),
- .d(\scan_unit/cnt [19]),
- .o(_al_u344_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u345 (
- .a(_al_u341_o),
- .b(_al_u342_o),
- .c(_al_u343_o),
- .d(_al_u344_o),
- .o(_al_u345_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u346 (
- .a(\scan_unit/cnt [30]),
- .b(\scan_unit/cnt [31]),
- .c(\scan_unit/cnt [4]),
- .d(\scan_unit/cnt [5]),
- .o(_al_u346_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*D*C*B*A)"),
- .INIT(32'h00008000))
- _al_u347 (
- .a(_al_u346_o),
- .b(\scan_unit/cnt [6]),
- .c(\scan_unit/cnt [7]),
- .d(\scan_unit/cnt [8]),
- .e(\scan_unit/cnt [9]),
- .o(_al_u347_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u348 (
- .a(\scan_unit/cnt [27]),
- .b(\scan_unit/cnt [28]),
- .c(\scan_unit/cnt [29]),
- .d(\scan_unit/cnt [3]),
- .o(_al_u348_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u349 (
- .a(\scan_unit/cnt [23]),
- .b(\scan_unit/cnt [24]),
- .c(\scan_unit/cnt [25]),
- .d(\scan_unit/cnt [26]),
- .o(_al_u349_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u350 (
- .a(_al_u345_o),
- .b(_al_u347_o),
- .c(_al_u348_o),
- .d(_al_u349_o),
- .o(\scan_unit/n0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u351 (
- .a(_al_u293_o),
- .b(_al_u294_o),
- .c(HADDR[29]),
- .o(_al_u351_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u352 (
- .a(_al_u351_o),
- .b(HADDR[31]),
- .c(HADDR[30]),
- .o(HSEL_P5));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u353 (
- .a(_al_u351_o),
- .b(_al_u296_o),
- .o(HSEL_P1));
- AL_MAP_LUT4 #(
- .EQN("(~(D@B)*~(C@A))"),
- .INIT(16'h8421))
- _al_u354 (
- .a(\SPI_TX/FIFO_SPI/rp [2]),
- .b(\SPI_TX/FIFO_SPI/rp [4]),
- .c(\SPI_TX/FIFO_SPI/wp [2]),
- .d(\SPI_TX/FIFO_SPI/wp [4]),
- .o(_al_u354_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D@B)*~(C@A))"),
- .INIT(16'h8421))
- _al_u355 (
- .a(\SPI_TX/FIFO_SPI/rp [0]),
- .b(\SPI_TX/FIFO_SPI/rp [1]),
- .c(\SPI_TX/FIFO_SPI/wp [0]),
- .d(\SPI_TX/FIFO_SPI/wp [1]),
- .o(_al_u355_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D@C))"),
- .INIT(16'h8008))
- _al_u356 (
- .a(_al_u354_o),
- .b(_al_u355_o),
- .c(\SPI_TX/FIFO_SPI/rp [3]),
- .d(\SPI_TX/FIFO_SPI/wp [3]),
- .o(\SPI_TX/FIFO_SPI/n25_lutinv ));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C@B))"),
- .INIT(8'h82))
- _al_u357 (
- .a(\SPI_TX/FIFO_SPI/n25_lutinv ),
- .b(\SPI_TX/FIFO_SPI/r_flag ),
- .c(\SPI_TX/FIFO_SPI/w_flag ),
- .o(_al_u357_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u358 (
- .a(_al_u357_o),
- .b(\SPI_TX/trans_finish_lutinv ),
- .o(\SPI_TX/FIFOrd_en ));
- AL_MAP_LUT4 #(
- .EQN("(B*~(A*(D@C)))"),
- .INIT(16'hc44c))
- _al_u359 (
- .a(\SPI_TX/FIFO_SPI/n25_lutinv ),
- .b(\SPI_Interface/wr_en_reg ),
- .c(\SPI_TX/FIFO_SPI/r_flag ),
- .d(\SPI_TX/FIFO_SPI/w_flag ),
- .o(\SPI_TX/FIFOwr_en ));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u360 (
- .a(\UART_RX/shift_reg [4]),
- .b(\UART_RX/shift_reg [5]),
- .c(\UART_RX/shift_reg [6]),
- .d(\UART_RX/shift_reg [7]),
- .o(_al_u360_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u361 (
- .a(\UART_RX/shift_reg [0]),
- .b(\UART_RX/shift_reg [1]),
- .c(\UART_RX/shift_reg [2]),
- .d(\UART_RX/shift_reg [3]),
- .o(_al_u361_o));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(A)*~(D)+~(C*B)*A*~(D)+~(~(C*B))*A*D+~(C*B)*A*D)"),
- .INIT(16'h55c0))
- _al_u362 (
- .a(interrupt_UART),
- .b(_al_u360_o),
- .c(_al_u361_o),
- .d(bps_en_rx),
- .o(\UART_RX/n6 ));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u363 (
- .a(_al_u331_o),
- .b(\UART_TX/trans_finish_lutinv ),
- .c(bps_en_tx),
- .o(\UART_TX/n4 ));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u364 (
- .a(\UART_TX/FIFOrd_en ),
- .b(\UART_TX/FIFO/rp [0]),
- .c(\UART_TX/FIFO/rp [1]),
- .d(\UART_TX/FIFO/rp [2]),
- .e(\UART_TX/FIFO/rp [3]),
- .o(\UART_TX/FIFO/u13_sel_is_3_o ));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u365 (
- .a(\UART_TX/FIFOwr_en ),
- .b(\UART_TX/FIFO/wp [0]),
- .c(\UART_TX/FIFO/wp [1]),
- .d(\UART_TX/FIFO/wp [2]),
- .e(\UART_TX/FIFO/wp [3]),
- .o(\UART_TX/FIFO/u7_sel_is_3_o ));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u366 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [9]),
- .o(\filter_unit/n1 [9]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u367 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [8]),
- .o(\filter_unit/n1 [8]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u368 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [7]),
- .o(\filter_unit/n1 [7]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u369 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [6]),
- .o(\filter_unit/n1 [6]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u370 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [5]),
- .o(\filter_unit/n1 [5]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u371 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [4]),
- .o(\filter_unit/n1 [4]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u372 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [3]),
- .o(\filter_unit/n1 [3]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u373 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [2]),
- .o(\filter_unit/n1 [2]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u374 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [19]),
- .o(\filter_unit/n1 [19]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u375 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [18]),
- .o(\filter_unit/n1 [18]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u376 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [17]),
- .o(\filter_unit/n1 [17]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u377 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [16]),
- .o(\filter_unit/n1 [16]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u378 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [15]),
- .o(\filter_unit/n1 [15]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u379 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [14]),
- .o(\filter_unit/n1 [14]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u380 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [13]),
- .o(\filter_unit/n1 [13]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u381 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [12]),
- .o(\filter_unit/n1 [12]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u382 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [11]),
- .o(\filter_unit/n1 [11]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u383 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [10]),
- .o(\filter_unit/n1 [10]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u384 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [1]),
- .o(\filter_unit/n1 [1]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u385 (
- .a(\filter_unit/n3 ),
- .b(\filter_unit/n0 [0]),
- .o(\filter_unit/n1 [0]));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u386 (
- .a(HADDR[11]),
- .b(HADDR[10]),
- .c(HADDR[9]),
- .d(HADDR[8]),
- .o(_al_u386_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u387 (
- .a(_al_u386_o),
- .b(HADDR[7]),
- .c(HADDR[6]),
- .d(HADDR[5]),
- .o(_al_u387_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u388 (
- .a(HADDR[15]),
- .b(HADDR[14]),
- .c(HADDR[13]),
- .d(HADDR[12]),
- .o(_al_u388_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u389 (
- .a(_al_u387_o),
- .b(_al_u388_o),
- .c(HADDR[17]),
- .d(HADDR[16]),
- .o(_al_u389_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u390 (
- .a(_al_u389_o),
- .b(HADDR[4]),
- .o(_al_u390_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u391 (
- .a(_al_u292_o),
- .b(_al_u295_o),
- .c(HADDR[31]),
- .d(HADDR[30]),
- .o(_al_u391_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u392 (
- .a(_al_u391_o),
- .b(HADDR[28]),
- .o(_al_u392_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u393 (
- .a(_al_u390_o),
- .b(_al_u392_o),
- .o(HSEL_P3));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u394 (
- .a(_al_u392_o),
- .b(_al_u389_o),
- .c(HADDR[4]),
- .o(HSEL_P2));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u395 (
- .a(_al_u390_o),
- .b(_al_u391_o),
- .c(HADDR[28]),
- .o(HSEL_P4));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u396 (
- .a(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
- .b(HTRANS[1]),
- .o(_al_u396_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u397 (
- .a(HSEL_P0),
- .b(_al_u396_o),
- .o(\RAMCODE_Interface/n15 ));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u398 (
- .a(_al_u357_o),
- .b(\SPI_TX/trans_finish_lutinv ),
- .c(\SPI_TX/count_en ),
- .o(\SPI_TX/n24 ));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u399 (
- .a(\SPI_TX/FIFOrd_en ),
- .b(\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ),
- .c(\SPI_TX/FIFO_SPI/rp [3]),
- .d(\SPI_TX/FIFO_SPI/rp [4]),
- .o(\SPI_TX/FIFO_SPI/u13_sel_is_3_o ));
- EG_PHY_PAD #(
- //.LOCATION("C15"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u4 (
- .do({open_n2591,open_n2592,open_n2593,LED_pad[3]}),
- .opad(LED[3])); // ../rtl/topmodule/CortexM0_SoC.v(11)
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u400 (
- .a(\SPI_TX/FIFOwr_en ),
- .b(\SPI_TX/FIFO_SPI/wp [4]),
- .o(\SPI_TX/FIFO_SPI/n2_1 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u401 (
- .a(\SPI_TX/FIFO_SPI/n2_1 ),
- .b(\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ),
- .c(\SPI_TX/FIFO_SPI/wp [3]),
- .o(\SPI_TX/FIFO_SPI/u7_sel_is_3_o ));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u402 (
- .a(\SPI_TX/FIFOwr_en ),
- .b(\SPI_TX/FIFO_SPI/wp [4]),
- .o(\SPI_TX/FIFO_SPI/n2_0 ));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u403 (
- .a(_al_u351_o),
- .b(_al_u396_o),
- .c(HADDR[31]),
- .d(HADDR[30]),
- .o(\FMDATA_Interface/n15 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u404 (
- .a(_al_u351_o),
- .b(_al_u396_o),
- .c(_al_u296_o),
- .o(\RAMDATA_Interface/n15 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u405 (
- .a(_al_u396_o),
- .b(HWRITE),
- .o(_al_u405_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u406 (
- .a(HSEL_P0),
- .b(_al_u405_o),
- .o(\RAMCODE_Interface/n10 ));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u407 (
- .a(_al_u351_o),
- .b(_al_u405_o),
- .c(HADDR[31]),
- .d(HADDR[30]),
- .o(\FMDATA_Interface/n10 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u408 (
- .a(_al_u351_o),
- .b(_al_u405_o),
- .c(_al_u296_o),
- .o(\RAMDATA_Interface/n10 ));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u409 (
- .a(\Interconncet/SlaveMUX/hsel_reg [0]),
- .b(\Interconncet/SlaveMUX/hsel_reg [1]),
- .c(\Interconncet/SlaveMUX/hsel_reg [2]),
- .d(\UART_Interface/rd_en_reg ),
- .o(_al_u409_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u410 (
- .a(_al_u409_o),
- .b(\UART_Interface/addr_reg [0]),
- .c(\UART_Interface/addr_reg [1]),
- .d(\UART_Interface/addr_reg [3]),
- .o(_al_u410_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u411 (
- .a(_al_u410_o),
- .b(\UART_Interface/addr_reg [2]),
- .o(_al_u411_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h2a0a2202))
- _al_u412 (
- .a(_al_u228_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[7]),
- .e(RAMDATA_RDATA[7]),
- .o(_al_u412_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u413 (
- .a(_al_u230_o),
- .b(_al_u160_o),
- .c(FMDATA_RDATA[7]),
- .o(_al_u413_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D*A)))"),
- .INIT(16'h8c0c))
- _al_u414 (
- .a(_al_u411_o),
- .b(_al_u412_o),
- .c(_al_u413_o),
- .d(UART_RX_data[7]),
- .o(HRDATA[7]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h2a0a2202))
- _al_u415 (
- .a(_al_u228_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[6]),
- .e(RAMDATA_RDATA[6]),
- .o(_al_u415_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u416 (
- .a(_al_u230_o),
- .b(_al_u160_o),
- .c(FMDATA_RDATA[6]),
- .o(_al_u416_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D*A)))"),
- .INIT(16'h8c0c))
- _al_u417 (
- .a(_al_u411_o),
- .b(_al_u415_o),
- .c(_al_u416_o),
- .d(UART_RX_data[6]),
- .o(HRDATA[6]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h2a0a2202))
- _al_u418 (
- .a(_al_u228_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[5]),
- .e(RAMDATA_RDATA[5]),
- .o(_al_u418_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u419 (
- .a(_al_u230_o),
- .b(_al_u160_o),
- .c(FMDATA_RDATA[5]),
- .o(_al_u419_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D*A)))"),
- .INIT(16'h8c0c))
- _al_u420 (
- .a(_al_u411_o),
- .b(_al_u418_o),
- .c(_al_u419_o),
- .d(UART_RX_data[5]),
- .o(HRDATA[5]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h2a0a2202))
- _al_u421 (
- .a(_al_u228_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[4]),
- .e(RAMDATA_RDATA[4]),
- .o(_al_u421_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u422 (
- .a(_al_u230_o),
- .b(_al_u160_o),
- .c(FMDATA_RDATA[4]),
- .o(_al_u422_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D*A)))"),
- .INIT(16'h8c0c))
- _al_u423 (
- .a(_al_u411_o),
- .b(_al_u421_o),
- .c(_al_u422_o),
- .d(UART_RX_data[4]),
- .o(HRDATA[4]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h2a0a2202))
- _al_u424 (
- .a(_al_u228_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[3]),
- .e(RAMDATA_RDATA[3]),
- .o(_al_u424_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u425 (
- .a(_al_u230_o),
- .b(_al_u160_o),
- .c(FMDATA_RDATA[3]),
- .o(_al_u425_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D*A)))"),
- .INIT(16'h8c0c))
- _al_u426 (
- .a(_al_u411_o),
- .b(_al_u424_o),
- .c(_al_u425_o),
- .d(UART_RX_data[3]),
- .o(HRDATA[3]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h2a0a2202))
- _al_u427 (
- .a(_al_u228_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[2]),
- .e(RAMDATA_RDATA[2]),
- .o(_al_u427_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u428 (
- .a(_al_u230_o),
- .b(_al_u160_o),
- .c(FMDATA_RDATA[2]),
- .o(_al_u428_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D*A)))"),
- .INIT(16'h8c0c))
- _al_u429 (
- .a(_al_u411_o),
- .b(_al_u427_o),
- .c(_al_u428_o),
- .d(UART_RX_data[2]),
- .o(HRDATA[2]));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E))"),
- .INIT(32'h2a0a2202))
- _al_u430 (
- .a(_al_u228_o),
- .b(\Interconncet/SlaveMUX/hsel_reg [4]),
- .c(\Interconncet/SlaveMUX/hsel_reg [5]),
- .d(RAMCODE_RDATA[1]),
- .e(RAMDATA_RDATA[1]),
- .o(_al_u430_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u431 (
- .a(_al_u230_o),
- .b(_al_u160_o),
- .c(FMDATA_RDATA[1]),
- .o(_al_u431_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D*A)))"),
- .INIT(16'h8c0c))
- _al_u432 (
- .a(_al_u411_o),
- .b(_al_u430_o),
- .c(_al_u431_o),
- .d(UART_RX_data[1]),
- .o(HRDATA[1]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u433 (
- .a(_al_u390_o),
- .b(_al_u392_o),
- .c(_al_u396_o),
- .d(HWRITE),
- .o(\UART_Interface/read_en ));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u434 (
- .a(_al_u390_o),
- .b(_al_u392_o),
- .c(_al_u396_o),
- .d(HWRITE),
- .o(\UART_Interface/write_en ));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u435 (
- .a(_al_u390_o),
- .b(_al_u405_o),
- .c(_al_u391_o),
- .d(HADDR[28]),
- .o(\SPI_Interface/write_en ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u436 (
- .a(_al_u390_o),
- .b(_al_u392_o),
- .c(_al_u396_o),
- .o(\UART_Interface/n5 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u437 (
- .a(\SPI_TX/counter [13]),
- .b(\SPI_TX/counter [7]),
- .c(\SPI_TX/counter [9]),
- .o(_al_u437_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*~B*A)"),
- .INIT(32'h00000002))
- _al_u438 (
- .a(_al_u437_o),
- .b(\SPI_TX/counter [10]),
- .c(\SPI_TX/counter [11]),
- .d(\SPI_TX/counter [12]),
- .e(\SPI_TX/counter [8]),
- .o(_al_u438_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u439 (
- .a(\SPI_TX/counter [1]),
- .b(\SPI_TX/counter [2]),
- .o(_al_u439_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u440 (
- .a(\SPI_TX/counter [5]),
- .b(\SPI_TX/counter [6]),
- .o(_al_u440_o));
- AL_MAP_LUT5 #(
- .EQN("(C*A*~(E*D*~B))"),
- .INIT(32'h80a0a0a0))
- _al_u441 (
- .a(_al_u438_o),
- .b(_al_u439_o),
- .c(_al_u440_o),
- .d(\SPI_TX/counter [3]),
- .e(\SPI_TX/counter [4]),
- .o(_al_u441_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u442 (
- .a(_al_u440_o),
- .b(\SPI_TX/counter [3]),
- .c(\SPI_TX/counter [4]),
- .o(_al_u442_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u443 (
- .a(\SPI_TX/counter [3]),
- .b(\SPI_TX/counter [4]),
- .c(\SPI_TX/counter [5]),
- .d(\SPI_TX/counter [6]),
- .o(_al_u443_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u444 (
- .a(_al_u442_o),
- .b(_al_u443_o),
- .o(_al_u444_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u445 (
- .a(_al_u300_o),
- .b(_al_u440_o),
- .c(\SPI_TX/counter [3]),
- .d(\SPI_TX/counter [4]),
- .o(_al_u445_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u446 (
- .a(_al_u441_o),
- .b(_al_u444_o),
- .c(_al_u445_o),
- .d(_al_u439_o),
- .o(_al_u446_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf151))
- _al_u447 (
- .a(_al_u446_o),
- .b(_al_u441_o),
- .c(MSI_CS_pad),
- .d(\SPI_TX/counter [0]),
- .o(\SPI_TX/n104 ));
- AL_MAP_LUT4 #(
- .EQN("(B*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C))"),
- .INIT(16'h8c80))
- _al_u448 (
- .a(_al_u333_o),
- .b(_al_u410_o),
- .c(\UART_Interface/addr_reg [2]),
- .d(UART_RX_data[0]),
- .o(_al_u448_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u449 (
- .a(_al_u230_o),
- .b(_al_u160_o),
- .c(FMDATA_RDATA[0]),
- .o(_al_u449_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
- .INIT(16'h8cae))
- _al_u450 (
- .a(\Interconncet/SlaveMUX/hsel_reg [4]),
- .b(\Interconncet/SlaveMUX/hsel_reg [5]),
- .c(RAMCODE_RDATA[0]),
- .d(RAMDATA_RDATA[0]),
- .o(_al_u450_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*B*~(C*~A))"),
- .INIT(16'h008c))
- _al_u451 (
- .a(_al_u448_o),
- .b(_al_u228_o),
- .c(_al_u449_o),
- .d(_al_u450_o),
- .o(HRDATA[0]));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u452 (
- .a(\SPI_TX/counter [0]),
- .b(\SPI_TX/counter [1]),
- .c(\SPI_TX/counter [2]),
- .o(_al_u452_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u453 (
- .a(\SPI_TX/counter [0]),
- .b(\SPI_TX/counter [1]),
- .c(\SPI_TX/counter [2]),
- .o(_al_u453_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u454 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_005 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_005 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u454_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u455 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_003 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_003 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u455_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(~D*A))"),
- .INIT(16'hf351))
- _al_u456 (
- .a(_al_u452_o),
- .b(_al_u453_o),
- .c(_al_u454_o),
- .d(_al_u455_o),
- .o(_al_u456_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u457 (
- .a(\SPI_TX/counter [0]),
- .b(\SPI_TX/counter [1]),
- .c(\SPI_TX/counter [2]),
- .o(_al_u457_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(16'ha088))
- _al_u458 (
- .a(_al_u457_o),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_004 ),
- .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_004 ),
- .d(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u458_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u459 (
- .a(\SPI_TX/counter [0]),
- .b(\SPI_TX/counter [1]),
- .c(\SPI_TX/counter [2]),
- .o(_al_u459_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(16'ha088))
- _al_u460 (
- .a(_al_u459_o),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_007 ),
- .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_007 ),
- .d(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u460_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u461 (
- .a(\SPI_TX/counter [0]),
- .b(\SPI_TX/counter [1]),
- .c(\SPI_TX/counter [2]),
- .o(_al_u461_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(16'ha088))
- _al_u462 (
- .a(_al_u461_o),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_002 ),
- .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_002 ),
- .d(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u462_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~D*~C*~B*A))"),
- .INIT(32'hfffd0000))
- _al_u463 (
- .a(_al_u456_o),
- .b(_al_u458_o),
- .c(_al_u460_o),
- .d(_al_u462_o),
- .e(_al_u442_o),
- .o(_al_u463_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(16'ha088))
- _al_u464 (
- .a(_al_u453_o),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_013 ),
- .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_013 ),
- .d(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u464_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u465 (
- .a(\SPI_TX/counter [0]),
- .b(\SPI_TX/counter [1]),
- .c(\SPI_TX/counter [2]),
- .o(_al_u465_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(16'ha088))
- _al_u466 (
- .a(_al_u465_o),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_014 ),
- .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_014 ),
- .d(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u466_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u467 (
- .a(\SPI_TX/counter [0]),
- .b(\SPI_TX/counter [1]),
- .c(\SPI_TX/counter [2]),
- .o(_al_u467_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(16'ha088))
- _al_u468 (
- .a(_al_u467_o),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_009 ),
- .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_009 ),
- .d(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u468_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(16'ha088))
- _al_u469 (
- .a(_al_u452_o),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_011 ),
- .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_011 ),
- .d(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u469_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~D*~C*~B*~A))"),
- .INIT(32'hfffe0000))
- _al_u470 (
- .a(_al_u464_o),
- .b(_al_u466_o),
- .c(_al_u468_o),
- .d(_al_u469_o),
- .e(_al_u443_o),
- .o(_al_u470_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u471 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_019 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_019 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u471_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u472 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_020 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_020 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u472_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
- .INIT(32'h00530000))
- _al_u473 (
- .a(_al_u471_o),
- .b(_al_u472_o),
- .c(\SPI_TX/counter [0]),
- .d(\SPI_TX/counter [1]),
- .e(\SPI_TX/counter [2]),
- .o(_al_u473_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u474 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_024 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_024 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u474_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u475 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_022 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_022 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u475_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(32'h00000305))
- _al_u476 (
- .a(_al_u474_o),
- .b(_al_u475_o),
- .c(\SPI_TX/counter [0]),
- .d(\SPI_TX/counter [1]),
- .e(\SPI_TX/counter [2]),
- .o(_al_u476_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u477 (
- .a(\SPI_TX/counter [3]),
- .b(\SPI_TX/counter [4]),
- .c(\SPI_TX/counter [5]),
- .d(\SPI_TX/counter [6]),
- .o(_al_u477_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(~B*~A))"),
- .INIT(8'he0))
- _al_u478 (
- .a(_al_u473_o),
- .b(_al_u476_o),
- .c(_al_u477_o),
- .o(_al_u478_o));
- AL_MAP_LUT5 #(
- .EQN("(B*A*(C*~(D)*~(E)+C*D*~(E)+~(C)*D*E+C*D*E))"),
- .INIT(32'h88008080))
- _al_u479 (
- .a(_al_u477_o),
- .b(_al_u453_o),
- .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_021 ),
- .d(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_021 ),
- .e(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u479_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(16'ha088))
- _al_u480 (
- .a(_al_u300_o),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_016 ),
- .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_016 ),
- .d(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u480_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u481 (
- .a(_al_u479_o),
- .b(_al_u480_o),
- .c(_al_u443_o),
- .o(_al_u481_o));
- AL_MAP_LUT4 #(
- .EQN("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
- .INIT(16'hca00))
- _al_u482 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_012 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_012 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .d(_al_u457_o),
- .o(_al_u482_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u483 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_000 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_000 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u483_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(~D*A))"),
- .INIT(16'h3f15))
- _al_u484 (
- .a(_al_u445_o),
- .b(_al_u482_o),
- .c(_al_u443_o),
- .d(_al_u483_o),
- .o(_al_u484_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*~C*~B*~A)"),
- .INIT(32'h01000000))
- _al_u485 (
- .a(_al_u463_o),
- .b(_al_u470_o),
- .c(_al_u478_o),
- .d(_al_u481_o),
- .e(_al_u484_o),
- .o(_al_u485_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u486 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_017 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_017 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u486_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u487 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_001 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_001 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u487_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~(~D*C)*~(~E*B)))"),
- .INIT(32'h00a088a8))
- _al_u488 (
- .a(_al_u438_o),
- .b(_al_u442_o),
- .c(_al_u477_o),
- .d(_al_u486_o),
- .e(_al_u487_o),
- .o(_al_u488_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u489 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_008 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_008 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u489_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u490 (
- .a(_al_u438_o),
- .b(_al_u442_o),
- .c(_al_u300_o),
- .d(_al_u489_o),
- .o(\SPI_TX/sel1/B9 ));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u491 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_010 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_010 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u491_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u492 (
- .a(_al_u438_o),
- .b(_al_u443_o),
- .c(_al_u461_o),
- .d(_al_u491_o),
- .o(\SPI_TX/sel1/B11 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~B*~(D*A))"),
- .INIT(16'h0103))
- _al_u493 (
- .a(_al_u488_o),
- .b(\SPI_TX/sel1/B9 ),
- .c(\SPI_TX/sel1/B11 ),
- .d(_al_u467_o),
- .o(_al_u493_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u494 (
- .a(_al_u438_o),
- .b(_al_u477_o),
- .c(_al_u459_o),
- .o(\SPI_TX/n77_lutinv ));
- AL_MAP_LUT3 #(
- .EQN("(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'hca))
- _al_u495 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_015 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_015 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(\SPI_TX/FIFOdata [15]));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u496 (
- .a(_al_u438_o),
- .b(_al_u443_o),
- .c(_al_u459_o),
- .d(\SPI_TX/FIFOdata [15]),
- .o(\SPI_TX/sel1/B16 ));
- AL_MAP_LUT3 #(
- .EQN("(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'hca))
- _al_u497 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_018 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_018 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(\SPI_TX/FIFOdata [18]));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u498 (
- .a(_al_u438_o),
- .b(_al_u477_o),
- .c(_al_u461_o),
- .d(\SPI_TX/FIFOdata [18]),
- .o(\SPI_TX/sel1/B19 ));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u499 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_023 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_023 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(_al_u499_o));
- EG_PHY_PAD #(
- //.LOCATION("B16"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u5 (
- .do({open_n2608,open_n2609,open_n2610,LED_pad[2]}),
- .opad(LED[2])); // ../rtl/topmodule/CortexM0_SoC.v(11)
- AL_MAP_LUT4 #(
- .EQN("(~C*~B*~(~D*A))"),
- .INIT(16'h0301))
- _al_u500 (
- .a(\SPI_TX/n77_lutinv ),
- .b(\SPI_TX/sel1/B16 ),
- .c(\SPI_TX/sel1/B19 ),
- .d(_al_u499_o),
- .o(_al_u500_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'hca))
- _al_u501 (
- .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_006 ),
- .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_006 ),
- .c(\SPI_TX/FIFO_SPI/rp [4]),
- .o(\SPI_TX/FIFOdata [6]));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u502 (
- .a(_al_u438_o),
- .b(_al_u442_o),
- .c(_al_u465_o),
- .d(\SPI_TX/FIFOdata [6]),
- .o(\SPI_TX/sel1/B7 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u503 (
- .a(_al_u459_o),
- .b(\SPI_TX/counter [3]),
- .c(\SPI_TX/counter [4]),
- .o(_al_u503_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(D*~(~C*A)))"),
- .INIT(16'h0233))
- _al_u504 (
- .a(_al_u441_o),
- .b(\SPI_TX/sel1/B7 ),
- .c(_al_u503_o),
- .d(MSI_SDATA_pad),
- .o(_al_u504_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*C*B*~(E*~A))"),
- .INIT(32'h7fff3fff))
- _al_u505 (
- .a(_al_u485_o),
- .b(_al_u493_o),
- .c(_al_u500_o),
- .d(_al_u504_o),
- .e(_al_u438_o),
- .o(\SPI_TX/n106 ));
- AL_MAP_LUT3 #(
- .EQN("~(~B*~(C*~A))"),
- .INIT(8'hdc))
- _al_u506 (
- .a(_al_u446_o),
- .b(\SPI_TX/n77_lutinv ),
- .c(\SPI_TX/MSI_clk_en ),
- .o(\SPI_TX/n109 ));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u507 (
- .a(\SPI_TX/FIFO_SPI/r_flag ),
- .o(\SPI_TX/FIFO_SPI/n19 ));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u508 (
- .a(\SPI_TX/FIFO_SPI/w_flag ),
- .o(\SPI_TX/FIFO_SPI/n7 ));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u509 (
- .a(\UART_TX/FIFO/r_flag ),
- .o(\UART_TX/FIFO/n19 ));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u510 (
- .a(\UART_TX/FIFO/w_flag ),
- .o(\UART_TX/FIFO/n7 ));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u511 (
- .a(\scan_unit/scan_clk ),
- .o(\scan_unit/n1 ));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u512 (
- .a(SYSRESETREQ),
- .o(n1));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u513 (
- .a(\UART_TX/FIFO/rp [0]),
- .o(\UART_TX/FIFO/n18 [0]));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u514 (
- .a(\UART_TX/FIFO/wp [0]),
- .o(\UART_TX/FIFO/n6 [0]));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u515 (
- .a(\SPI_TX/FIFO_SPI/rp [0]),
- .o(\SPI_TX/FIFO_SPI/n18 [0]));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u516 (
- .a(\SPI_TX/FIFO_SPI/wp [0]),
- .o(\SPI_TX/FIFO_SPI/n6 [0]));
- EG_PHY_PAD #(
- //.LOCATION("B15"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u6 (
- .do({open_n2625,open_n2626,open_n2627,LED_pad[1]}),
- .opad(LED[1])); // ../rtl/topmodule/CortexM0_SoC.v(11)
- EG_PHY_PAD #(
- //.LOCATION("B14"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u7 (
- .do({open_n2642,open_n2643,open_n2644,LED_pad[0]}),
- .opad(LED[0])); // ../rtl/topmodule/CortexM0_SoC.v(11)
- EG_PHY_PAD #(
- //.LOCATION("P9"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u8 (
- .do({open_n2659,open_n2660,open_n2661,MSI_CS_pad}),
- .opad(MSI_CS)); // ../rtl/topmodule/CortexM0_SoC.v(16)
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u89 (
- .a(\FMDATA_Interface/size_reg [3]),
- .b(\FMDATA_Interface/wr_en_reg ),
- .o(FMDATA_WRITE[3]));
- EG_PHY_PAD #(
- //.LOCATION("R15"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u9 (
- .do({open_n2676,open_n2677,open_n2678,MSI_REFCLK_pad}),
- .opad(MSI_REFCLK)); // ../rtl/topmodule/CortexM0_SoC.v(14)
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u90 (
- .a(\FMDATA_Interface/size_reg [2]),
- .b(\FMDATA_Interface/wr_en_reg ),
- .o(FMDATA_WRITE[2]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u91 (
- .a(\FMDATA_Interface/size_reg [1]),
- .b(\FMDATA_Interface/wr_en_reg ),
- .o(FMDATA_WRITE[1]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u92 (
- .a(\FMDATA_Interface/size_reg [0]),
- .b(\FMDATA_Interface/wr_en_reg ),
- .o(FMDATA_WRITE[0]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u93 (
- .a(\RAMCODE_Interface/size_reg [3]),
- .b(\RAMCODE_Interface/wr_en_reg ),
- .o(RAMCODE_WRITE[3]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u94 (
- .a(\RAMCODE_Interface/size_reg [2]),
- .b(\RAMCODE_Interface/wr_en_reg ),
- .o(RAMCODE_WRITE[2]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u95 (
- .a(\RAMCODE_Interface/size_reg [1]),
- .b(\RAMCODE_Interface/wr_en_reg ),
- .o(RAMCODE_WRITE[1]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u96 (
- .a(\RAMCODE_Interface/size_reg [0]),
- .b(\RAMCODE_Interface/wr_en_reg ),
- .o(RAMCODE_WRITE[0]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u97 (
- .a(\RAMDATA_Interface/size_reg [3]),
- .b(\RAMDATA_Interface/wr_en_reg ),
- .o(RAMDATA_WRITE[3]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u98 (
- .a(\RAMDATA_Interface/size_reg [2]),
- .b(\RAMDATA_Interface/wr_en_reg ),
- .o(RAMDATA_WRITE[2]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u99 (
- .a(\RAMDATA_Interface/size_reg [1]),
- .b(\RAMDATA_Interface/wr_en_reg ),
- .o(RAMDATA_WRITE[1]));
- AL_BUFKEEP #(
- .KEEP("IN"))
- _bufkeep_CW_CLK_MSI (
- .i(CW_CLK_MSI)); // ../rtl/topmodule/CortexM0_SoC.v(665)
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin (
- .a({\clkuart_pwm/cnt [0],1'b0}),
- .b({1'b1,open_n2693}),
- .f({\clkuart_pwm/n4 [0],open_n2713}),
- .fco(\clkuart_pwm/add0/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \clkuart_pwm/add0/u10|clkuart_pwm/add0/u9 (
- .a(\clkuart_pwm/cnt [10:9]),
- .b(2'b00),
- .fci(\clkuart_pwm/add0/c9 ),
- .f(\clkuart_pwm/n4 [10:9]),
- .fco(\clkuart_pwm/add0/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \clkuart_pwm/add0/u12|clkuart_pwm/add0/u11 (
- .a(\clkuart_pwm/cnt [12:11]),
- .b(2'b00),
- .fci(\clkuart_pwm/add0/c11 ),
- .f(\clkuart_pwm/n4 [12:11]));
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \clkuart_pwm/add0/u2|clkuart_pwm/add0/u1 (
- .a(\clkuart_pwm/cnt [2:1]),
- .b(2'b00),
- .fci(\clkuart_pwm/add0/c1 ),
- .f(\clkuart_pwm/n4 [2:1]),
- .fco(\clkuart_pwm/add0/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \clkuart_pwm/add0/u4|clkuart_pwm/add0/u3 (
- .a(\clkuart_pwm/cnt [4:3]),
- .b(2'b00),
- .fci(\clkuart_pwm/add0/c3 ),
- .f(\clkuart_pwm/n4 [4:3]),
- .fco(\clkuart_pwm/add0/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \clkuart_pwm/add0/u6|clkuart_pwm/add0/u5 (
- .a(\clkuart_pwm/cnt [6:5]),
- .b(2'b00),
- .fci(\clkuart_pwm/add0/c5 ),
- .f(\clkuart_pwm/n4 [6:5]),
- .fco(\clkuart_pwm/add0/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \clkuart_pwm/add0/u8|clkuart_pwm/add0/u7 (
- .a(\clkuart_pwm/cnt [8:7]),
- .b(2'b00),
- .fci(\clkuart_pwm/add0/c7 ),
- .f(\clkuart_pwm/n4 [8:7]),
- .fco(\clkuart_pwm/add0/c9 ));
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \clkuart_pwm/clk_uart_reg (
- .clk(clk_pad),
- .d(\clkuart_pwm/n6 ),
- .sr(cpuresetn),
- .q(clk_uart)); // ../rtl/peripherals/clkuart_pwm.v(20)
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("A_LE_B_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin (
- .a(2'b11),
- .b({\clkuart_pwm/cnt [0],open_n2852}),
- .fco(\clkuart_pwm/lt0_c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \clkuart_pwm/lt0_10|clkuart_pwm/lt0_9 (
- .a(2'b00),
- .b(\clkuart_pwm/cnt [10:9]),
- .fci(\clkuart_pwm/lt0_c9 ),
- .fco(\clkuart_pwm/lt0_c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \clkuart_pwm/lt0_12|clkuart_pwm/lt0_11 (
- .a(2'b00),
- .b(\clkuart_pwm/cnt [12:11]),
- .fci(\clkuart_pwm/lt0_c11 ),
- .fco(\clkuart_pwm/lt0_c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \clkuart_pwm/lt0_2|clkuart_pwm/lt0_1 (
- .a(2'b00),
- .b(\clkuart_pwm/cnt [2:1]),
- .fci(\clkuart_pwm/lt0_c1 ),
- .fco(\clkuart_pwm/lt0_c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \clkuart_pwm/lt0_4|clkuart_pwm/lt0_3 (
- .a(2'b10),
- .b(\clkuart_pwm/cnt [4:3]),
- .fci(\clkuart_pwm/lt0_c3 ),
- .fco(\clkuart_pwm/lt0_c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \clkuart_pwm/lt0_6|clkuart_pwm/lt0_5 (
- .a(2'b01),
- .b(\clkuart_pwm/cnt [6:5]),
- .fci(\clkuart_pwm/lt0_c5 ),
- .fco(\clkuart_pwm/lt0_c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \clkuart_pwm/lt0_8|clkuart_pwm/lt0_7 (
- .a(2'b11),
- .b(\clkuart_pwm/cnt [8:7]),
- .fci(\clkuart_pwm/lt0_c7 ),
- .fco(\clkuart_pwm/lt0_c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \clkuart_pwm/lt0_cout_al_u536 (
- .a({open_n3022,1'b0}),
- .b({open_n3023,1'b1}),
- .fci(\clkuart_pwm/lt0_c13 ),
- .f({open_n3042,\clkuart_pwm/n1 }));
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \clkuart_pwm/reg0_b0 (
- .clk(clk_pad),
- .d(\clkuart_pwm/n5 [0]),
- .sr(cpuresetn),
- .q(\clkuart_pwm/cnt [0])); // ../rtl/peripherals/clkuart_pwm.v(14)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \clkuart_pwm/reg0_b1 (
- .clk(clk_pad),
- .d(\clkuart_pwm/n5 [1]),
- .sr(cpuresetn),
- .q(\clkuart_pwm/cnt [1])); // ../rtl/peripherals/clkuart_pwm.v(14)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \clkuart_pwm/reg0_b10 (
- .clk(clk_pad),
- .d(\clkuart_pwm/n5 [10]),
- .sr(cpuresetn),
- .q(\clkuart_pwm/cnt [10])); // ../rtl/peripherals/clkuart_pwm.v(14)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \clkuart_pwm/reg0_b11 (
- .clk(clk_pad),
- .d(\clkuart_pwm/n5 [11]),
- .sr(cpuresetn),
- .q(\clkuart_pwm/cnt [11])); // ../rtl/peripherals/clkuart_pwm.v(14)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \clkuart_pwm/reg0_b12 (
- .clk(clk_pad),
- .d(\clkuart_pwm/n5 [12]),
- .sr(cpuresetn),
- .q(\clkuart_pwm/cnt [12])); // ../rtl/peripherals/clkuart_pwm.v(14)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \clkuart_pwm/reg0_b2 (
- .clk(clk_pad),
- .d(\clkuart_pwm/n5 [2]),
- .sr(cpuresetn),
- .q(\clkuart_pwm/cnt [2])); // ../rtl/peripherals/clkuart_pwm.v(14)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \clkuart_pwm/reg0_b3 (
- .clk(clk_pad),
- .d(\clkuart_pwm/n5 [3]),
- .sr(cpuresetn),
- .q(\clkuart_pwm/cnt [3])); // ../rtl/peripherals/clkuart_pwm.v(14)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \clkuart_pwm/reg0_b4 (
- .clk(clk_pad),
- .d(\clkuart_pwm/n5 [4]),
- .sr(cpuresetn),
- .q(\clkuart_pwm/cnt [4])); // ../rtl/peripherals/clkuart_pwm.v(14)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \clkuart_pwm/reg0_b5 (
- .clk(clk_pad),
- .d(\clkuart_pwm/n5 [5]),
- .sr(cpuresetn),
- .q(\clkuart_pwm/cnt [5])); // ../rtl/peripherals/clkuart_pwm.v(14)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \clkuart_pwm/reg0_b6 (
- .clk(clk_pad),
- .d(\clkuart_pwm/n5 [6]),
- .sr(cpuresetn),
- .q(\clkuart_pwm/cnt [6])); // ../rtl/peripherals/clkuart_pwm.v(14)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \clkuart_pwm/reg0_b7 (
- .clk(clk_pad),
- .d(\clkuart_pwm/n5 [7]),
- .sr(cpuresetn),
- .q(\clkuart_pwm/cnt [7])); // ../rtl/peripherals/clkuart_pwm.v(14)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \clkuart_pwm/reg0_b8 (
- .clk(clk_pad),
- .d(\clkuart_pwm/n5 [8]),
- .sr(cpuresetn),
- .q(\clkuart_pwm/cnt [8])); // ../rtl/peripherals/clkuart_pwm.v(14)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \clkuart_pwm/reg0_b9 (
- .clk(clk_pad),
- .d(\clkuart_pwm/n5 [9]),
- .sr(cpuresetn),
- .q(\clkuart_pwm/cnt [9])); // ../rtl/peripherals/clkuart_pwm.v(14)
- EG_PHY_CONFIG #(
- .DONE_PERSISTN("ENABLE"),
- .INIT_PERSISTN("ENABLE"),
- .JTAG_PERSISTN("DISABLE"),
- .PROGRAMN_PERSISTN("DISABLE"))
- config_inst ();
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- cpuresetn_reg (
- .clk(clk_pad),
- .d(n1),
- .sr(RSTn_pad),
- .q(cpuresetn)); // ../rtl/topmodule/CortexM0_SoC.v(85)
- EG_PHY_LSLICE #(
- //.MACRO("filter_unit/add0/ucin_al_u529"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \filter_unit/add0/u11_al_u532 (
- .a({\filter_unit/cnt [13],\filter_unit/cnt [11]}),
- .b({\filter_unit/cnt [14],\filter_unit/cnt [12]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\filter_unit/add0/c11 ),
- .f({\filter_unit/n0 [13],\filter_unit/n0 [11]}),
- .fco(\filter_unit/add0/c15 ),
- .fx({\filter_unit/n0 [14],\filter_unit/n0 [12]}));
- EG_PHY_LSLICE #(
- //.MACRO("filter_unit/add0/ucin_al_u529"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \filter_unit/add0/u15_al_u533 (
- .a({\filter_unit/cnt [17],\filter_unit/cnt [15]}),
- .b({\filter_unit/cnt [18],\filter_unit/cnt [16]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\filter_unit/add0/c15 ),
- .f({\filter_unit/n0 [17],\filter_unit/n0 [15]}),
- .fco(\filter_unit/add0/c19 ),
- .fx({\filter_unit/n0 [18],\filter_unit/n0 [16]}));
- EG_PHY_LSLICE #(
- //.MACRO("filter_unit/add0/ucin_al_u529"),
- //.R_POSITION("X0Y2Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \filter_unit/add0/u19_al_u534 (
- .a({open_n3145,\filter_unit/cnt [19]}),
- .c(2'b00),
- .d({open_n3150,1'b0}),
- .fci(\filter_unit/add0/c19 ),
- .f({open_n3167,\filter_unit/n0 [19]}));
- EG_PHY_LSLICE #(
- //.MACRO("filter_unit/add0/ucin_al_u529"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \filter_unit/add0/u3_al_u530 (
- .a({\filter_unit/cnt [5],\filter_unit/cnt [3]}),
- .b({\filter_unit/cnt [6],\filter_unit/cnt [4]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\filter_unit/add0/c3 ),
- .f({\filter_unit/n0 [5],\filter_unit/n0 [3]}),
- .fco(\filter_unit/add0/c7 ),
- .fx({\filter_unit/n0 [6],\filter_unit/n0 [4]}));
- EG_PHY_LSLICE #(
- //.MACRO("filter_unit/add0/ucin_al_u529"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \filter_unit/add0/u7_al_u531 (
- .a({\filter_unit/cnt [9],\filter_unit/cnt [7]}),
- .b({\filter_unit/cnt [10],\filter_unit/cnt [8]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\filter_unit/add0/c7 ),
- .f({\filter_unit/n0 [9],\filter_unit/n0 [7]}),
- .fco(\filter_unit/add0/c11 ),
- .fx({\filter_unit/n0 [10],\filter_unit/n0 [8]}));
- EG_PHY_LSLICE #(
- //.MACRO("filter_unit/add0/ucin_al_u529"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \filter_unit/add0/ucin_al_u529 (
- .a({\filter_unit/cnt [1],1'b0}),
- .b({\filter_unit/cnt [2],\filter_unit/cnt [0]}),
- .c(2'b00),
- .d(2'b01),
- .e(2'b01),
- .f({\filter_unit/n0 [1],open_n3226}),
- .fco(\filter_unit/add0/c3 ),
- .fx({\filter_unit/n0 [2],\filter_unit/n0 [0]}));
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b0 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [0]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [0])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b1 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [1]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [1])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b10 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [10]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [10])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b11 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [11]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [11])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b12 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [12]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [12])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b13 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [13]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [13])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b14 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [14]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [14])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b15 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [15]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [15])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b2 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [2]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [2])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b3 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [3]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [3])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b4 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [4]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [4])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b5 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [5]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [5])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b6 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [6]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [6])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b7 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [7]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [7])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b8 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [8]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [8])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg0_b9 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(\filter_unit/key_reg0 [9]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg1 [9])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b0 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [0]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [0])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b1 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [1]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [1])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b10 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [10]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [10])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b11 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [11]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [11])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b12 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [12]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [12])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b13 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [13]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [13])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b14 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [14]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [14])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b15 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [15]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [15])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b16 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [16]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [16])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b17 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [17]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [17])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b18 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [18]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [18])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b19 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [19]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [19])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b2 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [2]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [2])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b3 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [3]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [3])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b4 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [4]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [4])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b5 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [5]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [5])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b6 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [6]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [6])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b7 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [7]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [7])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b8 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [8]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [8])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg5_b9 (
- .clk(clk_pad),
- .d(\filter_unit/n1 [9]),
- .sr(RSTn_pad),
- .q(\filter_unit/cnt [9])); // ../rtl/peripherals/KeyScan.v(38)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b0 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[0]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [0])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b1 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[1]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [1])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b10 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[10]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [10])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b11 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[11]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [11])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b12 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[12]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [12])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b13 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[13]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [13])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b14 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[14]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [14])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b15 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[15]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [15])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b2 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[2]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [2])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b3 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[3]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [3])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b4 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[4]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [4])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b5 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[5]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [5])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b6 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[6]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [6])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b7 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[7]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [7])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b8 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[8]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [8])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \filter_unit/reg6_b9 (
- .ce(\filter_unit/n3 ),
- .clk(clk_pad),
- .d(key_in[9]),
- .sr(RSTn_pad),
- .q(\filter_unit/key_reg0 [9])); // ../rtl/peripherals/KeyScan.v(50)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b0 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [0]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [0])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b1 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [1]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [1])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b10 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [10]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [10])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b11 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [11]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [11])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b12 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [12]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [12])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b13 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [13]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [13])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b14 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [14]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [14])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b15 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [15]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [15])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b2 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [2]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [2])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b3 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [3]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [3])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b4 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [4]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [4])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b5 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [5]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [5])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b6 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [6]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [6])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b7 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [7]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [7])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b8 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [8]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [8])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg0_b9 (
- .clk(clk_pad),
- .d(\pulse_gen_unit/key_reg_1 [9]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_2 [9])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b0 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [0]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [0])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b1 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [1]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [1])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b10 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [10]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [10])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b11 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [11]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [11])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b12 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [12]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [12])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b13 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [13]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [13])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b14 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [14]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [14])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b15 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [15]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [15])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b2 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [2]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [2])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b3 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [3]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [3])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b4 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [4]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [4])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b5 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [5]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [5])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b6 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [6]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [6])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b7 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [7]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [7])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b8 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [8]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [8])); // ../rtl/peripherals/KeyScan.v(110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \pulse_gen_unit/reg1_b9 (
- .clk(clk_pad),
- .d(\filter_unit/n9 [9]),
- .sr(RSTn_pad),
- .q(\pulse_gen_unit/key_reg_1 [9])); // ../rtl/peripherals/KeyScan.v(110)
- EG_PHY_LSLICE #(
- //.MACRO("scan_unit/add0/ucin_al_u520"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \scan_unit/add0/u11_al_u523 (
- .a({\scan_unit/cnt [13],\scan_unit/cnt [11]}),
- .b({\scan_unit/cnt [14],\scan_unit/cnt [12]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\scan_unit/add0/c11 ),
- .f({\scan_unit/n2 [13],\scan_unit/n2 [11]}),
- .fco(\scan_unit/add0/c15 ),
- .fx({\scan_unit/n2 [14],\scan_unit/n2 [12]}));
- EG_PHY_LSLICE #(
- //.MACRO("scan_unit/add0/ucin_al_u520"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \scan_unit/add0/u15_al_u524 (
- .a({\scan_unit/cnt [17],\scan_unit/cnt [15]}),
- .b({\scan_unit/cnt [18],\scan_unit/cnt [16]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\scan_unit/add0/c15 ),
- .f({\scan_unit/n2 [17],\scan_unit/n2 [15]}),
- .fco(\scan_unit/add0/c19 ),
- .fx({\scan_unit/n2 [18],\scan_unit/n2 [16]}));
- EG_PHY_LSLICE #(
- //.MACRO("scan_unit/add0/ucin_al_u520"),
- //.R_POSITION("X0Y2Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \scan_unit/add0/u19_al_u525 (
- .a({\scan_unit/cnt [21],\scan_unit/cnt [19]}),
- .b({\scan_unit/cnt [22],\scan_unit/cnt [20]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\scan_unit/add0/c19 ),
- .f({\scan_unit/n2 [21],\scan_unit/n2 [19]}),
- .fco(\scan_unit/add0/c23 ),
- .fx({\scan_unit/n2 [22],\scan_unit/n2 [20]}));
- EG_PHY_LSLICE #(
- //.MACRO("scan_unit/add0/ucin_al_u520"),
- //.R_POSITION("X0Y3Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \scan_unit/add0/u23_al_u526 (
- .a({\scan_unit/cnt [25],\scan_unit/cnt [23]}),
- .b({\scan_unit/cnt [26],\scan_unit/cnt [24]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\scan_unit/add0/c23 ),
- .f({\scan_unit/n2 [25],\scan_unit/n2 [23]}),
- .fco(\scan_unit/add0/c27 ),
- .fx({\scan_unit/n2 [26],\scan_unit/n2 [24]}));
- EG_PHY_LSLICE #(
- //.MACRO("scan_unit/add0/ucin_al_u520"),
- //.R_POSITION("X0Y3Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \scan_unit/add0/u27_al_u527 (
- .a({\scan_unit/cnt [29],\scan_unit/cnt [27]}),
- .b({\scan_unit/cnt [30],\scan_unit/cnt [28]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\scan_unit/add0/c27 ),
- .f({\scan_unit/n2 [29],\scan_unit/n2 [27]}),
- .fco(\scan_unit/add0/c31 ),
- .fx({\scan_unit/n2 [30],\scan_unit/n2 [28]}));
- EG_PHY_LSLICE #(
- //.MACRO("scan_unit/add0/ucin_al_u520"),
- //.R_POSITION("X0Y4Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \scan_unit/add0/u31_al_u528 (
- .a({open_n3371,\scan_unit/cnt [31]}),
- .c(2'b00),
- .d({open_n3376,1'b0}),
- .fci(\scan_unit/add0/c31 ),
- .f({open_n3393,\scan_unit/n2 [31]}));
- EG_PHY_LSLICE #(
- //.MACRO("scan_unit/add0/ucin_al_u520"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \scan_unit/add0/u3_al_u521 (
- .a({\scan_unit/cnt [5],\scan_unit/cnt [3]}),
- .b({\scan_unit/cnt [6],\scan_unit/cnt [4]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\scan_unit/add0/c3 ),
- .f({\scan_unit/n2 [5],\scan_unit/n2 [3]}),
- .fco(\scan_unit/add0/c7 ),
- .fx({\scan_unit/n2 [6],\scan_unit/n2 [4]}));
- EG_PHY_LSLICE #(
- //.MACRO("scan_unit/add0/ucin_al_u520"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \scan_unit/add0/u7_al_u522 (
- .a({\scan_unit/cnt [9],\scan_unit/cnt [7]}),
- .b({\scan_unit/cnt [10],\scan_unit/cnt [8]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\scan_unit/add0/c7 ),
- .f({\scan_unit/n2 [9],\scan_unit/n2 [7]}),
- .fco(\scan_unit/add0/c11 ),
- .fx({\scan_unit/n2 [10],\scan_unit/n2 [8]}));
- EG_PHY_LSLICE #(
- //.MACRO("scan_unit/add0/ucin_al_u520"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \scan_unit/add0/ucin_al_u520 (
- .a({\scan_unit/cnt [1],1'b0}),
- .b({\scan_unit/cnt [2],\scan_unit/cnt [0]}),
- .c(2'b00),
- .d(2'b01),
- .e(2'b01),
- .f({\scan_unit/n2 [1],open_n3452}),
- .fco(\scan_unit/add0/c3 ),
- .fx({\scan_unit/n2 [2],\scan_unit/n2 [0]}));
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg0_b0 (
- .clk(\scan_unit/scan_clk ),
- .d(row_pad[3]),
- .q(row_pad[0])); // ../rtl/peripherals/KeyScan.v(16)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg0_b1 (
- .clk(\scan_unit/scan_clk ),
- .d(row_pad[0]),
- .q(row_pad[1])); // ../rtl/peripherals/KeyScan.v(16)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg0_b2 (
- .clk(\scan_unit/scan_clk ),
- .d(row_pad[1]),
- .q(row_pad[2])); // ../rtl/peripherals/KeyScan.v(16)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg0_b3 (
- .clk(\scan_unit/scan_clk ),
- .d(row_pad[2]),
- .q(row_pad[3])); // ../rtl/peripherals/KeyScan.v(16)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b0 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [0]),
- .q(key_in[0])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b1 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [1]),
- .q(key_in[1])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b10 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [10]),
- .q(key_in[10])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b11 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [11]),
- .q(key_in[11])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b12 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [12]),
- .q(key_in[12])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b13 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [13]),
- .q(key_in[13])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b14 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [14]),
- .q(key_in[14])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b15 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [15]),
- .q(key_in[15])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b2 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [2]),
- .q(key_in[2])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b3 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [3]),
- .q(key_in[3])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b4 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [4]),
- .q(key_in[4])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b5 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [5]),
- .q(key_in[5])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b6 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [6]),
- .q(key_in[6])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b7 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [7]),
- .q(key_in[7])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b8 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [8]),
- .q(key_in[8])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("INV"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/reg1_b9 (
- .clk(\scan_unit/scan_clk ),
- .d(\scan_unit/n13 [9]),
- .q(key_in[9])); // ../rtl/peripherals/KeyScan.v(18)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b0 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [0]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [0])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b1 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [1]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [1])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b10 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [10]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [10])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b11 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [11]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [11])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b12 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [12]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [12])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b13 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [13]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [13])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b14 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [14]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [14])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b15 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [15]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [15])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b16 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [16]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [16])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b17 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [17]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [17])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b18 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [18]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [18])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b19 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [19]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [19])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b2 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [2]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [2])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b20 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [20]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [20])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b21 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [21]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [21])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b22 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [22]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [22])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b23 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [23]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [23])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b24 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [24]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [24])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b25 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [25]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [25])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b26 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [26]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [26])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b27 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [27]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [27])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b28 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [28]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [28])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b29 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [29]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [29])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b3 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [3]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [3])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b30 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [30]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [30])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b31 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [31]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [31])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b4 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [4]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [4])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b5 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [5]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [5])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b6 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [6]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [6])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b7 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [7]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [7])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b8 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [8]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [8])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- \scan_unit/reg2_b9 (
- .clk(clk_pad),
- .d(\scan_unit/n2 [9]),
- .sr(\scan_unit/n0 ),
- .q(\scan_unit/cnt [9])); // ../rtl/peripherals/KeyScan.v(8)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \scan_unit/scan_clk_reg (
- .ce(\scan_unit/n0 ),
- .clk(clk_pad),
- .d(\scan_unit/n1 ),
- .q(\scan_unit/scan_clk )); // ../rtl/peripherals/KeyScan.v(8)
- cortexm0ds_logic u_logic (
- .CDBGPWRUPACK(CDBGPWRUPACK),
- .DBGRESETn(RSTn_pad),
- .DBGRESTART(1'b0),
- .DCLK(clk_pad),
- .ECOREVNUM(28'b0000000000000000000000000000),
- .EDBGRQ(1'b0),
- .FCLK(clk_pad),
- .HCLK(clk_pad),
- .HRDATA(HRDATA),
- .HREADY(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
- .HRESETn(cpuresetn),
- .HRESP(1'b0),
- .IRQ({12'b000000000000,key_interrupt,RSSI_interrupt,1'b0,interrupt_IQ_done,interrupt_UART}),
- .IRQLATENCY(8'b00000000),
- .NMI(1'b0),
- .PORESETn(RSTn_pad),
- .RSTBYPASS(1'b0),
- .RXEV(1'b0),
- .SCLK(clk_pad),
- .SE(1'b0),
- .SLEEPHOLDREQn(1'b1),
- .STCALIB(26'b00000000000000000000000000),
- .STCLKEN(1'b0),
- .SWCLKTCK(SWCLK),
- .SWDITMS(SWDIO_pad),
- .TDI(1'b0),
- .WICENREQ(1'b0),
- .nTRST(1'b1),
- .CDBGPWRUPREQ(CDBGPWRUPREQ),
- .HADDR(HADDR),
- .HSIZE({open_n3544,HSIZE[1:0]}),
- .HTRANS({HTRANS[1],open_n3545}),
- .HWDATA(HWDATA),
- .HWRITE(HWRITE),
- .SWDO(SWDO),
- .SWDOEN(SWDOEN),
- .SYSRESETREQ(SYSRESETREQ)); // ../rtl/topmodule/CortexM0_SoC.v(103)
-
-endmodule
-
-module FM_Display // ../rtl/peripherals/FM_Display.v(3)
- (
- FM_HW_state,
- RSTn,
- clk,
- rdaddr,
- wdata,
- wea,
- wraddr,
- seg,
- sel
- );
-
- input [3:0] FM_HW_state; // ../rtl/peripherals/FM_Display.v(12)
- input RSTn; // ../rtl/peripherals/FM_Display.v(7)
- input clk; // ../rtl/peripherals/FM_Display.v(6)
- input [12:0] rdaddr; // ../rtl/peripherals/FM_Display.v(9)
- input [31:0] wdata; // ../rtl/peripherals/FM_Display.v(10)
- input [3:0] wea; // ../rtl/peripherals/FM_Display.v(11)
- input [12:0] wraddr; // ../rtl/peripherals/FM_Display.v(8)
- output [7:0] seg; // ../rtl/peripherals/FM_Display.v(13)
- output [3:0] sel; // ../rtl/peripherals/FM_Display.v(14)
-
- parameter FM_ADDR_WIDTH = 13;
- // localparam FM_HW_STATE_RCEV = 4'b0010;
- wire [4:0] channel_NO; // ../rtl/peripherals/FM_Display.v(21)
- wire [25:0] conter; // ../rtl/peripherals/FM_Display.v(19)
- wire [15:0] counter_1Khz; // ../rtl/peripherals/FM_Display.v(64)
- wire [1:0] ctrl_freq; // ../rtl/peripherals/FM_Display.v(97)
- wire [3:0] frac_digit; // ../rtl/peripherals/FM_Display.v(22)
- wire [7:0] n100;
- wire [3:0] n110;
- wire [1:0] n112;
- wire [3:0] n116;
- wire [7:0] n117;
- wire [25:0] n12;
- wire [25:0] n16;
- wire [15:0] n20;
- wire [15:0] n24;
- wire [7:0] n91;
- wire [7:0] n93;
- wire [7:0] n95;
- wire [3:0] percentage_digit; // ../rtl/peripherals/FM_Display.v(24)
- wire [7:0] seg_pad; // ../rtl/peripherals/FM_Display.v(13)
- wire [3:0] sel_pad; // ../rtl/peripherals/FM_Display.v(14)
- wire [3:0] single_digit; // ../rtl/peripherals/FM_Display.v(23)
- wire [3:0] thousand_digit; // ../rtl/peripherals/FM_Display.v(25)
- wire ChannelNO_or_FREQ; // ../rtl/peripherals/FM_Display.v(86)
- wire _al_u104_o;
- wire _al_u105_o;
- wire _al_u107_o;
- wire _al_u108_o;
- wire _al_u109_o;
- wire _al_u110_o;
- wire _al_u111_o;
- wire _al_u112_o;
- wire _al_u113_o;
- wire _al_u114_o;
- wire _al_u142_o;
- wire _al_u143_o;
- wire _al_u144_o;
- wire _al_u145_o;
- wire _al_u146_o;
- wire _al_u147_o;
- wire _al_u148_o;
- wire _al_u150_o;
- wire _al_u151_o;
- wire _al_u153_o;
- wire _al_u155_o;
- wire _al_u157_o;
- wire _al_u158_o;
- wire _al_u159_o;
- wire _al_u160_o;
- wire _al_u164_o;
- wire _al_u166_o;
- wire _al_u168_o;
- wire _al_u169_o;
- wire _al_u170_o;
- wire _al_u171_o;
- wire _al_u172_o;
- wire _al_u173_o;
- wire _al_u174_o;
- wire _al_u175_o;
- wire _al_u176_o;
- wire _al_u177_o;
- wire _al_u178_o;
- wire _al_u179_o;
- wire _al_u180_o;
- wire _al_u182_o;
- wire _al_u183_o;
- wire _al_u184_o;
- wire _al_u185_o;
- wire _al_u186_o;
- wire _al_u187_o;
- wire _al_u188_o;
- wire _al_u189_o;
- wire _al_u190_o;
- wire _al_u191_o;
- wire _al_u192_o;
- wire _al_u193_o;
- wire _al_u194_o;
- wire _al_u195_o;
- wire _al_u196_o;
- wire _al_u197_o;
- wire _al_u198_o;
- wire _al_u199_o;
- wire _al_u201_o;
- wire _al_u202_o;
- wire _al_u203_o;
- wire _al_u206_o;
- wire _al_u208_o;
- wire _al_u209_o;
- wire _al_u210_o;
- wire _al_u211_o;
- wire _al_u213_o;
- wire _al_u214_o;
- wire _al_u215_o;
- wire _al_u216_o;
- wire _al_u218_o;
- wire _al_u219_o;
- wire _al_u220_o;
- wire _al_u221_o;
- wire _al_u222_o;
- wire _al_u225_o;
- wire _al_u228_o;
- wire _al_u230_o;
- wire _al_u231_o;
- wire _al_u232_o;
- wire _al_u233_o;
- wire _al_u234_o;
- wire _al_u235_o;
- wire _al_u236_o;
- wire _al_u237_o;
- wire _al_u238_o;
- wire _al_u239_o;
- wire _al_u240_o;
- wire _al_u241_o;
- wire _al_u69_o;
- wire _al_u70_o;
- wire _al_u71_o;
- wire _al_u72_o;
- wire _al_u74_o;
- wire _al_u78_o;
- wire _al_u80_o;
- wire _al_u82_o;
- wire _al_u83_o;
- wire _al_u84_o;
- wire _al_u85_o;
- wire _al_u86_o;
- wire \add0/c11 ; // ../rtl/peripherals/FM_Display.v(59)
- wire \add0/c15 ; // ../rtl/peripherals/FM_Display.v(59)
- wire \add0/c19 ; // ../rtl/peripherals/FM_Display.v(59)
- wire \add0/c23 ; // ../rtl/peripherals/FM_Display.v(59)
- wire \add0/c3 ; // ../rtl/peripherals/FM_Display.v(59)
- wire \add0/c7 ; // ../rtl/peripherals/FM_Display.v(59)
- wire \add1/c11 ; // ../rtl/peripherals/FM_Display.v(79)
- wire \add1/c15 ; // ../rtl/peripherals/FM_Display.v(79)
- wire \add1/c3 ; // ../rtl/peripherals/FM_Display.v(79)
- wire \add1/c7 ; // ../rtl/peripherals/FM_Display.v(79)
- wire clk_1Hz; // ../rtl/peripherals/FM_Display.v(20)
- wire clk_1KHz; // ../rtl/peripherals/FM_Display.v(65)
- wire ctrl_channel_NO; // ../rtl/peripherals/FM_Display.v(96)
- wire lt0_c1;
- wire lt0_c11;
- wire lt0_c13;
- wire lt0_c15;
- wire lt0_c17;
- wire lt0_c19;
- wire lt0_c21;
- wire lt0_c23;
- wire lt0_c25;
- wire lt0_c3;
- wire lt0_c5;
- wire lt0_c7;
- wire lt0_c9;
- wire lt1_c1;
- wire lt1_c11;
- wire lt1_c13;
- wire lt1_c15;
- wire lt1_c3;
- wire lt1_c5;
- wire lt1_c7;
- wire lt1_c9;
- wire \lt2/o_3_lutinv ; // ../rtl/peripherals/FM_Display.v(101)
- wire n11;
- wire n15;
- wire n19;
- wire n23;
- wire n27;
- wire n28_lutinv;
- wire n29;
- wire n3;
- wire n87;
- wire n89;
-
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- ChannelNO_or_FREQ_reg (
- .clk(clk_1Hz),
- .d(n27),
- .sr(RSTn),
- .q(ChannelNO_or_FREQ)); // ../rtl/peripherals/FM_Display.v(89)
- EG_PHY_PAD #(
- //.LOCATION("A4"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u10 (
- .do({open_n2,open_n3,open_n4,seg_pad[0]}),
- .opad(seg[0])); // ../rtl/peripherals/FM_Display.v(13)
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u100 (
- .a(_al_u86_o),
- .b(n20[11]),
- .c(n19),
- .d(counter_1Khz[11]),
- .o(n24[11]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u101 (
- .a(_al_u86_o),
- .b(n20[10]),
- .c(n19),
- .d(counter_1Khz[10]),
- .o(n24[10]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u102 (
- .a(_al_u86_o),
- .b(n20[1]),
- .c(n19),
- .d(counter_1Khz[1]),
- .o(n24[1]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u103 (
- .a(_al_u86_o),
- .b(n20[0]),
- .c(n19),
- .d(counter_1Khz[0]),
- .o(n24[0]));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(D*B)))"),
- .INIT(16'h0d05))
- _al_u104 (
- .a(n89),
- .b(_al_u74_o),
- .c(sel_pad[2]),
- .d(ctrl_freq[1]),
- .o(_al_u104_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u105 (
- .a(ctrl_freq[0]),
- .b(ctrl_freq[1]),
- .o(_al_u105_o));
- AL_MAP_LUT5 #(
- .EQN("~(~B*~(~D*~A*~(E*C)))"),
- .INIT(32'hcccdccdd))
- _al_u106 (
- .a(_al_u104_o),
- .b(_al_u78_o),
- .c(n89),
- .d(n29),
- .e(_al_u105_o),
- .o(n116[2]));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u107 (
- .a(conter[25]),
- .b(conter[3]),
- .c(conter[4]),
- .d(conter[5]),
- .o(_al_u107_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*D*C*B*A)"),
- .INIT(32'h00008000))
- _al_u108 (
- .a(_al_u107_o),
- .b(conter[21]),
- .c(conter[22]),
- .d(conter[23]),
- .e(conter[24]),
- .o(_al_u108_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u109 (
- .a(conter[12]),
- .b(conter[13]),
- .o(_al_u109_o));
- EG_PHY_PAD #(
- //.LOCATION("A3"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u11 (
- .do({open_n19,open_n20,open_n21,sel_pad[3]}),
- .opad(sel[3])); // ../rtl/peripherals/FM_Display.v(14)
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*~B*A)"),
- .INIT(32'h00000002))
- _al_u110 (
- .a(_al_u109_o),
- .b(conter[0]),
- .c(conter[1]),
- .d(conter[10]),
- .e(conter[11]),
- .o(_al_u110_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*~A)"),
- .INIT(16'h0004))
- _al_u111 (
- .a(conter[6]),
- .b(conter[7]),
- .c(conter[8]),
- .d(conter[9]),
- .o(_al_u111_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*~A)"),
- .INIT(16'h0400))
- _al_u112 (
- .a(conter[18]),
- .b(conter[19]),
- .c(conter[2]),
- .d(conter[20]),
- .o(_al_u112_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u113 (
- .a(conter[14]),
- .b(conter[15]),
- .c(conter[16]),
- .d(conter[17]),
- .o(_al_u113_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u114 (
- .a(_al_u108_o),
- .b(_al_u110_o),
- .c(_al_u111_o),
- .d(_al_u112_o),
- .e(_al_u113_o),
- .o(_al_u114_o));
- AL_MAP_LUT3 #(
- .EQN("~(~A*~(~C*B))"),
- .INIT(8'hae))
- _al_u115 (
- .a(_al_u114_o),
- .b(clk_1Hz),
- .c(n11),
- .o(n15));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u116 (
- .a(_al_u114_o),
- .b(n12[9]),
- .c(n11),
- .d(conter[9]),
- .o(n16[9]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u117 (
- .a(_al_u114_o),
- .b(n12[8]),
- .c(n11),
- .d(conter[8]),
- .o(n16[8]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u118 (
- .a(_al_u114_o),
- .b(n12[7]),
- .c(n11),
- .d(conter[7]),
- .o(n16[7]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u119 (
- .a(_al_u114_o),
- .b(n12[6]),
- .c(n11),
- .d(conter[6]),
- .o(n16[6]));
- EG_PHY_PAD #(
- //.LOCATION("A5"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u12 (
- .do({open_n36,open_n37,open_n38,sel_pad[2]}),
- .opad(sel[2])); // ../rtl/peripherals/FM_Display.v(14)
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u120 (
- .a(_al_u114_o),
- .b(n12[5]),
- .c(n11),
- .d(conter[5]),
- .o(n16[5]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u121 (
- .a(_al_u114_o),
- .b(n12[4]),
- .c(n11),
- .d(conter[4]),
- .o(n16[4]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u122 (
- .a(_al_u114_o),
- .b(n12[3]),
- .c(n11),
- .d(conter[3]),
- .o(n16[3]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u123 (
- .a(_al_u114_o),
- .b(n12[25]),
- .c(n11),
- .d(conter[25]),
- .o(n16[25]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u124 (
- .a(_al_u114_o),
- .b(n12[24]),
- .c(n11),
- .d(conter[24]),
- .o(n16[24]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u125 (
- .a(_al_u114_o),
- .b(n12[23]),
- .c(n11),
- .d(conter[23]),
- .o(n16[23]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u126 (
- .a(_al_u114_o),
- .b(n12[22]),
- .c(n11),
- .d(conter[22]),
- .o(n16[22]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u127 (
- .a(_al_u114_o),
- .b(n12[21]),
- .c(n11),
- .d(conter[21]),
- .o(n16[21]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u128 (
- .a(_al_u114_o),
- .b(n12[20]),
- .c(n11),
- .d(conter[20]),
- .o(n16[20]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u129 (
- .a(_al_u114_o),
- .b(n12[2]),
- .c(n11),
- .d(conter[2]),
- .o(n16[2]));
- EG_PHY_PAD #(
- //.LOCATION("B6"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u13 (
- .do({open_n53,open_n54,open_n55,sel_pad[1]}),
- .opad(sel[1])); // ../rtl/peripherals/FM_Display.v(14)
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u130 (
- .a(_al_u114_o),
- .b(n12[19]),
- .c(n11),
- .d(conter[19]),
- .o(n16[19]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u131 (
- .a(_al_u114_o),
- .b(n12[18]),
- .c(n11),
- .d(conter[18]),
- .o(n16[18]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u132 (
- .a(_al_u114_o),
- .b(n12[17]),
- .c(n11),
- .d(conter[17]),
- .o(n16[17]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u133 (
- .a(_al_u114_o),
- .b(n12[16]),
- .c(n11),
- .d(conter[16]),
- .o(n16[16]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u134 (
- .a(_al_u114_o),
- .b(n12[15]),
- .c(n11),
- .d(conter[15]),
- .o(n16[15]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u135 (
- .a(_al_u114_o),
- .b(n12[14]),
- .c(n11),
- .d(conter[14]),
- .o(n16[14]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u136 (
- .a(_al_u114_o),
- .b(n12[13]),
- .c(n11),
- .d(conter[13]),
- .o(n16[13]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u137 (
- .a(_al_u114_o),
- .b(n12[12]),
- .c(n11),
- .d(conter[12]),
- .o(n16[12]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u138 (
- .a(_al_u114_o),
- .b(n12[11]),
- .c(n11),
- .d(conter[11]),
- .o(n16[11]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u139 (
- .a(_al_u114_o),
- .b(n12[10]),
- .c(n11),
- .d(conter[10]),
- .o(n16[10]));
- EG_PHY_PAD #(
- //.LOCATION("C9"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u14 (
- .do({open_n70,open_n71,open_n72,sel_pad[0]}),
- .opad(sel[0])); // ../rtl/peripherals/FM_Display.v(14)
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u140 (
- .a(_al_u114_o),
- .b(n12[1]),
- .c(n11),
- .d(conter[1]),
- .o(n16[1]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u141 (
- .a(_al_u114_o),
- .b(n12[0]),
- .c(n11),
- .d(conter[0]),
- .o(n16[0]));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u142 (
- .a(channel_NO[0]),
- .b(channel_NO[2]),
- .c(channel_NO[4]),
- .o(_al_u142_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*C*B))"),
- .INIT(16'haa2a))
- _al_u143 (
- .a(n29),
- .b(_al_u142_o),
- .c(channel_NO[1]),
- .d(channel_NO[3]),
- .o(_al_u143_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hccc555ff))
- _al_u144 (
- .a(ctrl_channel_NO),
- .b(seg_pad[2]),
- .c(channel_NO[1]),
- .d(channel_NO[2]),
- .e(channel_NO[3]),
- .o(_al_u144_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(~(A)*C*~(D)*~(E)+A*C*~(D)*~(E)+~(A)*~(C)*D*E))"),
- .INIT(32'h01000030))
- _al_u145 (
- .a(ctrl_channel_NO),
- .b(channel_NO[0]),
- .c(channel_NO[1]),
- .d(channel_NO[2]),
- .e(channel_NO[3]),
- .o(_al_u145_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(C*~B*~A))"),
- .INIT(16'hef00))
- _al_u146 (
- .a(FM_HW_state[3]),
- .b(FM_HW_state[2]),
- .c(FM_HW_state[1]),
- .d(seg_pad[2]),
- .o(_al_u146_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(A*(~C*~(B)*~(E)+~C*B*~(E)+~(~C)*B*E+~C*B*E)))"),
- .INIT(32'h007700f5))
- _al_u147 (
- .a(_al_u143_o),
- .b(_al_u144_o),
- .c(_al_u145_o),
- .d(_al_u146_o),
- .e(channel_NO[4]),
- .o(_al_u147_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~(~C*~A)*~(E)*~(D)+~(~C*~A)*E*~(D)+~(~(~C*~A))*E*D+~(~C*~A)*E*D))"),
- .INIT(32'h0004cc04))
- _al_u148 (
- .a(frac_digit[0]),
- .b(frac_digit[1]),
- .c(frac_digit[2]),
- .d(frac_digit[3]),
- .e(seg_pad[2]),
- .o(_al_u148_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfffb03fb))
- _al_u149 (
- .a(percentage_digit[0]),
- .b(percentage_digit[1]),
- .c(percentage_digit[2]),
- .d(percentage_digit[3]),
- .e(seg_pad[2]),
- .o(n95[2]));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~C*B*A))"),
- .INIT(16'h00f7))
- _al_u150 (
- .a(frac_digit[2]),
- .b(frac_digit[3]),
- .c(seg_pad[2]),
- .d(ctrl_freq[0]),
- .o(_al_u150_o));
- AL_MAP_LUT5 #(
- .EQN("~(~(C*~A)*~((~D*~B))*~(E)+~(C*~A)*(~D*~B)*~(E)+~(~(C*~A))*(~D*~B)*E+~(C*~A)*(~D*~B)*E)"),
- .INIT(32'hffcc5050))
- _al_u151 (
- .a(_al_u148_o),
- .b(n95[2]),
- .c(_al_u150_o),
- .d(ctrl_freq[0]),
- .e(ctrl_freq[1]),
- .o(_al_u151_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfffb03fb))
- _al_u152 (
- .a(single_digit[0]),
- .b(single_digit[1]),
- .c(single_digit[2]),
- .d(single_digit[3]),
- .e(seg_pad[2]),
- .o(n93[2]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u153 (
- .a(n93[2]),
- .b(ctrl_freq[0]),
- .o(_al_u153_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfffb03fa))
- _al_u154 (
- .a(thousand_digit[0]),
- .b(thousand_digit[1]),
- .c(thousand_digit[2]),
- .d(thousand_digit[3]),
- .e(seg_pad[2]),
- .o(n100[2]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u155 (
- .a(n100[2]),
- .b(ctrl_freq[0]),
- .o(_al_u155_o));
- AL_MAP_LUT5 #(
- .EQN("~(A*~(E*~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)))"),
- .INIT(32'h75fd5555))
- _al_u156 (
- .a(_al_u147_o),
- .b(_al_u151_o),
- .c(_al_u153_o),
- .d(_al_u155_o),
- .e(n89),
- .o(n117[2]));
- AL_MAP_LUT5 #(
- .EQN("(A*~((D*~B)*~(C)*~(E)+(D*~B)*C*~(E)+~((D*~B))*C*E+(D*~B)*C*E))"),
- .INIT(32'h0a0a88aa))
- _al_u157 (
- .a(\lt2/o_3_lutinv ),
- .b(ctrl_channel_NO),
- .c(seg_pad[6]),
- .d(channel_NO[2]),
- .e(channel_NO[4]),
- .o(_al_u157_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfa513ff0))
- _al_u158 (
- .a(ctrl_channel_NO),
- .b(channel_NO[0]),
- .c(channel_NO[1]),
- .d(channel_NO[2]),
- .e(channel_NO[4]),
- .o(_al_u158_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(C*~B*~A))"),
- .INIT(16'hef00))
- _al_u159 (
- .a(FM_HW_state[3]),
- .b(FM_HW_state[2]),
- .c(FM_HW_state[1]),
- .d(seg_pad[6]),
- .o(_al_u159_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(C*~A*~(~E*~B)))"),
- .INIT(32'h00af00bf))
- _al_u160 (
- .a(_al_u157_o),
- .b(_al_u158_o),
- .c(n29),
- .d(_al_u159_o),
- .e(channel_NO[3]),
- .o(_al_u160_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hff7c037c))
- _al_u161 (
- .a(single_digit[0]),
- .b(single_digit[1]),
- .c(single_digit[2]),
- .d(single_digit[3]),
- .e(seg_pad[6]),
- .o(n93[6]));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hff7c037c))
- _al_u162 (
- .a(percentage_digit[0]),
- .b(percentage_digit[1]),
- .c(percentage_digit[2]),
- .d(percentage_digit[3]),
- .e(seg_pad[6]),
- .o(n95[6]));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hff7c037c))
- _al_u163 (
- .a(frac_digit[0]),
- .b(frac_digit[1]),
- .c(frac_digit[2]),
- .d(frac_digit[3]),
- .e(seg_pad[6]),
- .o(n91[6]));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+A*~(B)*C*D*~(E)+A*B*C*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hffccaaf0))
- _al_u164 (
- .a(n93[6]),
- .b(n95[6]),
- .c(n91[6]),
- .d(ctrl_freq[0]),
- .e(ctrl_freq[1]),
- .o(_al_u164_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hff7d037c))
- _al_u165 (
- .a(thousand_digit[0]),
- .b(thousand_digit[1]),
- .c(thousand_digit[2]),
- .d(thousand_digit[3]),
- .e(seg_pad[6]),
- .o(n100[6]));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*C*~A))"),
- .INIT(16'h8ccc))
- _al_u166 (
- .a(n100[6]),
- .b(n89),
- .c(ctrl_freq[0]),
- .d(ctrl_freq[1]),
- .o(_al_u166_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u167 (
- .a(_al_u160_o),
- .b(_al_u164_o),
- .c(_al_u166_o),
- .o(n117[6]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u168 (
- .a(ctrl_channel_NO),
- .b(channel_NO[0]),
- .o(_al_u168_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*B)*~(C*A))"),
- .INIT(16'h5f13))
- _al_u169 (
- .a(\lt2/o_3_lutinv ),
- .b(_al_u168_o),
- .c(seg_pad[4]),
- .d(channel_NO[3]),
- .o(_al_u169_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u170 (
- .a(channel_NO[0]),
- .b(channel_NO[4]),
- .o(_al_u170_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*~(C)*~(D)*~(E)+B*~(C)*~(D)*~(E)+~(B)*C*~(D)*~(E)+B*C*~(D)*~(E)+~(B)*C*D*~(E)+B*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*C*~(D)*E+~(B)*~(C)*D*E))"),
- .INIT(32'h022aa0aa))
- _al_u171 (
- .a(_al_u170_o),
- .b(ctrl_channel_NO),
- .c(channel_NO[1]),
- .d(channel_NO[2]),
- .e(channel_NO[3]),
- .o(_al_u171_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(~(B)*C*~(D)+B*C*~(D)+~(B)*~(C)*D))"),
- .INIT(16'h02a0))
- _al_u172 (
- .a(ctrl_channel_NO),
- .b(channel_NO[1]),
- .c(channel_NO[2]),
- .d(channel_NO[3]),
- .o(_al_u172_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~B*~(E*~(~D*A))))"),
- .INIT(32'hf0d0c0c0))
- _al_u173 (
- .a(_al_u169_o),
- .b(_al_u171_o),
- .c(n29),
- .d(_al_u172_o),
- .e(channel_NO[4]),
- .o(_al_u173_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E)"),
- .INIT(32'h02bafeba))
- _al_u174 (
- .a(frac_digit[0]),
- .b(frac_digit[1]),
- .c(frac_digit[2]),
- .d(frac_digit[3]),
- .e(seg_pad[4]),
- .o(_al_u174_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E)"),
- .INIT(32'h02bafeba))
- _al_u175 (
- .a(single_digit[0]),
- .b(single_digit[1]),
- .c(single_digit[2]),
- .d(single_digit[3]),
- .e(seg_pad[4]),
- .o(_al_u175_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
- .INIT(16'h0035))
- _al_u176 (
- .a(_al_u174_o),
- .b(_al_u175_o),
- .c(ctrl_freq[0]),
- .d(ctrl_freq[1]),
- .o(_al_u176_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E)"),
- .INIT(32'h02bafebb))
- _al_u177 (
- .a(thousand_digit[0]),
- .b(thousand_digit[1]),
- .c(thousand_digit[2]),
- .d(thousand_digit[3]),
- .e(seg_pad[4]),
- .o(_al_u177_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E)"),
- .INIT(32'h02bafeba))
- _al_u178 (
- .a(percentage_digit[0]),
- .b(percentage_digit[1]),
- .c(percentage_digit[2]),
- .d(percentage_digit[3]),
- .e(seg_pad[4]),
- .o(_al_u178_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
- .INIT(16'h5300))
- _al_u179 (
- .a(_al_u177_o),
- .b(_al_u178_o),
- .c(ctrl_freq[0]),
- .d(ctrl_freq[1]),
- .o(_al_u179_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u180 (
- .a(n28_lutinv),
- .b(seg_pad[4]),
- .o(_al_u180_o));
- AL_MAP_LUT5 #(
- .EQN("~(~D*~A*~(E*~(~C*~B)))"),
- .INIT(32'hfffeffaa))
- _al_u181 (
- .a(_al_u173_o),
- .b(_al_u176_o),
- .c(_al_u179_o),
- .d(_al_u180_o),
- .e(n89),
- .o(n117[4]));
- AL_MAP_LUT4 #(
- .EQN("(~D*(A*~(B)*~(C)+~(A)*~(B)*C+A*B*C))"),
- .INIT(16'h0092))
- _al_u182 (
- .a(frac_digit[0]),
- .b(frac_digit[1]),
- .c(frac_digit[2]),
- .d(frac_digit[3]),
- .o(_al_u182_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(~B*~A))"),
- .INIT(8'he0))
- _al_u183 (
- .a(frac_digit[1]),
- .b(frac_digit[2]),
- .c(frac_digit[3]),
- .o(_al_u183_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~A*~(~C*B))"),
- .INIT(32'h00000051))
- _al_u184 (
- .a(_al_u182_o),
- .b(_al_u183_o),
- .c(seg_pad[3]),
- .d(ctrl_freq[0]),
- .e(ctrl_freq[1]),
- .o(_al_u184_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E)"),
- .INIT(32'h0092fc93))
- _al_u185 (
- .a(thousand_digit[0]),
- .b(thousand_digit[1]),
- .c(thousand_digit[2]),
- .d(thousand_digit[3]),
- .e(seg_pad[3]),
- .o(_al_u185_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~A*~(E*D*~B))"),
- .INIT(32'h40505050))
- _al_u186 (
- .a(_al_u184_o),
- .b(_al_u185_o),
- .c(n89),
- .d(ctrl_freq[0]),
- .e(ctrl_freq[1]),
- .o(_al_u186_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u187 (
- .a(channel_NO[1]),
- .b(channel_NO[2]),
- .o(_al_u187_o));
- AL_MAP_LUT4 #(
- .EQN("(D*(~C*~(B)*~(A)+~C*B*~(A)+~(~C)*B*A+~C*B*A))"),
- .INIT(16'h8d00))
- _al_u188 (
- .a(_al_u187_o),
- .b(_al_u168_o),
- .c(seg_pad[3]),
- .d(channel_NO[4]),
- .o(_al_u188_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfb513cf3))
- _al_u189 (
- .a(ctrl_channel_NO),
- .b(channel_NO[0]),
- .c(channel_NO[1]),
- .d(channel_NO[2]),
- .e(channel_NO[4]),
- .o(_al_u189_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfffe451f))
- _al_u190 (
- .a(ctrl_channel_NO),
- .b(channel_NO[0]),
- .c(channel_NO[1]),
- .d(channel_NO[2]),
- .e(channel_NO[4]),
- .o(_al_u190_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(B*~((E*~A))*~(D)+B*(E*~A)*~(D)+~(B)*(E*~A)*D+B*(E*~A)*D))"),
- .INIT(32'h50c000c0))
- _al_u191 (
- .a(_al_u188_o),
- .b(_al_u189_o),
- .c(n29),
- .d(channel_NO[3]),
- .e(_al_u190_o),
- .o(_al_u191_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*(A*~(B)*~(C)+~(A)*~(B)*C+A*B*C))"),
- .INIT(16'h0092))
- _al_u192 (
- .a(single_digit[0]),
- .b(single_digit[1]),
- .c(single_digit[2]),
- .d(single_digit[3]),
- .o(_al_u192_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(~B*~A))"),
- .INIT(8'he0))
- _al_u193 (
- .a(single_digit[1]),
- .b(single_digit[2]),
- .c(single_digit[3]),
- .o(_al_u193_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u194 (
- .a(ctrl_freq[0]),
- .b(ctrl_freq[1]),
- .o(_al_u194_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(~D*B))"),
- .INIT(16'h5010))
- _al_u195 (
- .a(_al_u192_o),
- .b(_al_u193_o),
- .c(_al_u194_o),
- .d(seg_pad[3]),
- .o(_al_u195_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*(A*~(B)*~(C)+~(A)*~(B)*C+A*B*C))"),
- .INIT(16'h0092))
- _al_u196 (
- .a(percentage_digit[0]),
- .b(percentage_digit[1]),
- .c(percentage_digit[2]),
- .d(percentage_digit[3]),
- .o(_al_u196_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(~B*~A))"),
- .INIT(8'he0))
- _al_u197 (
- .a(percentage_digit[1]),
- .b(percentage_digit[2]),
- .c(percentage_digit[3]),
- .o(_al_u197_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(~D*B))"),
- .INIT(16'h5010))
- _al_u198 (
- .a(_al_u196_o),
- .b(_al_u197_o),
- .c(_al_u105_o),
- .d(seg_pad[3]),
- .o(_al_u198_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u199 (
- .a(n28_lutinv),
- .b(ChannelNO_or_FREQ),
- .c(seg_pad[3]),
- .o(_al_u199_o));
- AL_MAP_LUT5 #(
- .EQN("~(~B*~(~E*~(~D*~C*A)))"),
- .INIT(32'hccccfffd))
- _al_u200 (
- .a(_al_u186_o),
- .b(_al_u191_o),
- .c(_al_u195_o),
- .d(_al_u198_o),
- .e(_al_u199_o),
- .o(n117[3]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~C*B))"),
- .INIT(16'ha2aa))
- _al_u201 (
- .a(n29),
- .b(\lt2/o_3_lutinv ),
- .c(seg_pad[0]),
- .d(channel_NO[4]),
- .o(_al_u201_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfb55fcf3))
- _al_u202 (
- .a(ctrl_channel_NO),
- .b(channel_NO[0]),
- .c(channel_NO[1]),
- .d(channel_NO[2]),
- .e(channel_NO[4]),
- .o(_al_u202_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(16'ha088))
- _al_u203 (
- .a(_al_u201_o),
- .b(_al_u202_o),
- .c(_al_u190_o),
- .d(channel_NO[3]),
- .o(_al_u203_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hffed03ed))
- _al_u204 (
- .a(percentage_digit[0]),
- .b(percentage_digit[1]),
- .c(percentage_digit[2]),
- .d(percentage_digit[3]),
- .e(seg_pad[0]),
- .o(n95[0]));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hffed03ed))
- _al_u205 (
- .a(frac_digit[0]),
- .b(frac_digit[1]),
- .c(frac_digit[2]),
- .d(frac_digit[3]),
- .e(seg_pad[0]),
- .o(n91[0]));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0503))
- _al_u206 (
- .a(n95[0]),
- .b(n91[0]),
- .c(ctrl_freq[0]),
- .d(ctrl_freq[1]),
- .o(_al_u206_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hffed03ed))
- _al_u207 (
- .a(single_digit[0]),
- .b(single_digit[1]),
- .c(single_digit[2]),
- .d(single_digit[3]),
- .e(seg_pad[0]),
- .o(n93[0]));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D)"),
- .INIT(16'h06f7))
- _al_u208 (
- .a(thousand_digit[0]),
- .b(thousand_digit[2]),
- .c(thousand_digit[3]),
- .d(seg_pad[0]),
- .o(_al_u208_o));
- AL_MAP_LUT3 #(
- .EQN("(~(A)*~(B)*~(C)+~(A)*B*~(C)+A*~(B)*C+~(A)*B*C+A*B*C)"),
- .INIT(8'he5))
- _al_u209 (
- .a(thousand_digit[1]),
- .b(thousand_digit[2]),
- .c(thousand_digit[3]),
- .o(_al_u209_o));
- AL_MAP_LUT5 #(
- .EQN("(D*(~A*~((C*B))*~(E)+~A*(C*B)*~(E)+~(~A)*(C*B)*E+~A*(C*B)*E))"),
- .INIT(32'hc0005500))
- _al_u210 (
- .a(n93[0]),
- .b(_al_u208_o),
- .c(_al_u209_o),
- .d(ctrl_freq[0]),
- .e(ctrl_freq[1]),
- .o(_al_u210_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u211 (
- .a(n28_lutinv),
- .b(ChannelNO_or_FREQ),
- .c(seg_pad[0]),
- .o(_al_u211_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(D*~(E*~(~C*~B))))"),
- .INIT(32'habaaffaa))
- _al_u212 (
- .a(_al_u203_o),
- .b(_al_u206_o),
- .c(_al_u210_o),
- .d(_al_u211_o),
- .e(n89),
- .o(n117[0]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0cfa))
- _al_u213 (
- .a(_al_u183_o),
- .b(_al_u197_o),
- .c(ctrl_freq[0]),
- .d(ctrl_freq[1]),
- .o(_al_u213_o));
- AL_MAP_LUT5 #(
- .EQN("(E*(~(A)*(~C*~B)*~(D)+~(A)*~((~C*~B))*D+A*~((~C*~B))*D))"),
- .INIT(32'hfc010000))
- _al_u214 (
- .a(thousand_digit[0]),
- .b(thousand_digit[1]),
- .c(thousand_digit[2]),
- .d(thousand_digit[3]),
- .e(ctrl_freq[0]),
- .o(_al_u214_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(C*~B*~A))"),
- .INIT(16'hef00))
- _al_u215 (
- .a(_al_u213_o),
- .b(_al_u214_o),
- .c(n89),
- .d(seg_pad[7]),
- .o(_al_u215_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*~B))"),
- .INIT(16'h8aaa))
- _al_u216 (
- .a(n29),
- .b(_al_u187_o),
- .c(channel_NO[3]),
- .d(channel_NO[4]),
- .o(_al_u216_o));
- AL_MAP_LUT5 #(
- .EQN("~(~(E*~D*C)*~(~B*A))"),
- .INIT(32'h22f22222))
- _al_u217 (
- .a(_al_u215_o),
- .b(_al_u216_o),
- .c(n89),
- .d(_al_u193_o),
- .e(_al_u194_o),
- .o(n117[7]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u218 (
- .a(ctrl_channel_NO),
- .b(channel_NO[0]),
- .o(_al_u218_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hccc55fff))
- _al_u219 (
- .a(_al_u218_o),
- .b(seg_pad[1]),
- .c(channel_NO[1]),
- .d(channel_NO[2]),
- .e(channel_NO[4]),
- .o(_al_u219_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfffec3ff))
- _al_u220 (
- .a(ctrl_channel_NO),
- .b(channel_NO[0]),
- .c(channel_NO[1]),
- .d(channel_NO[2]),
- .e(channel_NO[4]),
- .o(_al_u220_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(C*~B*~A))"),
- .INIT(16'hef00))
- _al_u221 (
- .a(FM_HW_state[3]),
- .b(FM_HW_state[2]),
- .c(FM_HW_state[1]),
- .d(seg_pad[1]),
- .o(_al_u221_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(C*(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'h005f003f))
- _al_u222 (
- .a(_al_u219_o),
- .b(_al_u220_o),
- .c(n29),
- .d(_al_u221_o),
- .e(channel_NO[3]),
- .o(_al_u222_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hff9f039f))
- _al_u223 (
- .a(frac_digit[0]),
- .b(frac_digit[1]),
- .c(frac_digit[2]),
- .d(frac_digit[3]),
- .e(seg_pad[1]),
- .o(n91[1]));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hff9f039f))
- _al_u224 (
- .a(single_digit[0]),
- .b(single_digit[1]),
- .c(single_digit[2]),
- .d(single_digit[3]),
- .e(seg_pad[1]),
- .o(n93[1]));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
- .INIT(16'h0035))
- _al_u225 (
- .a(n91[1]),
- .b(n93[1]),
- .c(ctrl_freq[0]),
- .d(ctrl_freq[1]),
- .o(_al_u225_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hff9f039e))
- _al_u226 (
- .a(thousand_digit[0]),
- .b(thousand_digit[1]),
- .c(thousand_digit[2]),
- .d(thousand_digit[3]),
- .e(seg_pad[1]),
- .o(n100[1]));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hff9f039f))
- _al_u227 (
- .a(percentage_digit[0]),
- .b(percentage_digit[1]),
- .c(percentage_digit[2]),
- .d(percentage_digit[3]),
- .e(seg_pad[1]),
- .o(n95[1]));
- AL_MAP_LUT4 #(
- .EQN("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
- .INIT(16'h5300))
- _al_u228 (
- .a(n100[1]),
- .b(n95[1]),
- .c(ctrl_freq[0]),
- .d(ctrl_freq[1]),
- .o(_al_u228_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~(D*~C*~B))"),
- .INIT(16'h5755))
- _al_u229 (
- .a(_al_u222_o),
- .b(_al_u225_o),
- .c(_al_u228_o),
- .d(n89),
- .o(n117[1]));
- AL_MAP_LUT4 #(
- .EQN("(~D*(A*~(C)*~(B)+A*C*~(B)+~(A)*C*B+A*C*B))"),
- .INIT(16'h00e2))
- _al_u230 (
- .a(channel_NO[0]),
- .b(channel_NO[1]),
- .c(channel_NO[2]),
- .d(channel_NO[3]),
- .o(_al_u230_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~A*(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B))"),
- .INIT(32'h45010000))
- _al_u231 (
- .a(_al_u230_o),
- .b(\lt2/o_3_lutinv ),
- .c(ctrl_channel_NO),
- .d(seg_pad[5]),
- .e(channel_NO[4]),
- .o(_al_u231_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E)"),
- .INIT(32'h501f3f03))
- _al_u232 (
- .a(ctrl_channel_NO),
- .b(channel_NO[0]),
- .c(channel_NO[1]),
- .d(channel_NO[2]),
- .e(channel_NO[3]),
- .o(_al_u232_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~A*~(~D*B)))"),
- .INIT(16'ha0e0))
- _al_u233 (
- .a(_al_u231_o),
- .b(_al_u232_o),
- .c(n29),
- .d(channel_NO[4]),
- .o(_al_u233_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*B*C*~(D)*E)"),
- .INIT(32'h008efc8e))
- _al_u234 (
- .a(percentage_digit[0]),
- .b(percentage_digit[1]),
- .c(percentage_digit[2]),
- .d(percentage_digit[3]),
- .e(seg_pad[5]),
- .o(_al_u234_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*B*C*~(D)*E)"),
- .INIT(32'h008efc8e))
- _al_u235 (
- .a(frac_digit[0]),
- .b(frac_digit[1]),
- .c(frac_digit[2]),
- .d(frac_digit[3]),
- .e(seg_pad[5]),
- .o(_al_u235_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0503))
- _al_u236 (
- .a(_al_u234_o),
- .b(_al_u235_o),
- .c(ctrl_freq[0]),
- .d(ctrl_freq[1]),
- .o(_al_u236_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*B*C*~(D)*E)"),
- .INIT(32'h008efc8f))
- _al_u237 (
- .a(thousand_digit[0]),
- .b(thousand_digit[1]),
- .c(thousand_digit[2]),
- .d(thousand_digit[3]),
- .e(seg_pad[5]),
- .o(_al_u237_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*C*~A))"),
- .INIT(16'h8ccc))
- _al_u238 (
- .a(_al_u237_o),
- .b(n89),
- .c(ctrl_freq[0]),
- .d(ctrl_freq[1]),
- .o(_al_u238_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*(A*~(B)*~(C)+~(A)*B*~(C)+A*B*~(C)+A*B*C))"),
- .INIT(16'h008e))
- _al_u239 (
- .a(single_digit[0]),
- .b(single_digit[1]),
- .c(single_digit[2]),
- .d(single_digit[3]),
- .o(_al_u239_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(~D*B))"),
- .INIT(16'h5010))
- _al_u240 (
- .a(_al_u239_o),
- .b(_al_u193_o),
- .c(_al_u194_o),
- .d(seg_pad[5]),
- .o(_al_u240_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u241 (
- .a(n28_lutinv),
- .b(ChannelNO_or_FREQ),
- .c(seg_pad[5]),
- .o(_al_u241_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(E*~(~D*C*~B)))"),
- .INIT(32'hffefaaaa))
- _al_u242 (
- .a(_al_u233_o),
- .b(_al_u236_o),
- .c(_al_u238_o),
- .d(_al_u240_o),
- .e(_al_u241_o),
- .o(n117[5]));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u243 (
- .a(ChannelNO_or_FREQ),
- .o(n27));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u244 (
- .a(ctrl_freq[0]),
- .o(n112[0]));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u245 (
- .a(ctrl_channel_NO),
- .o(n87));
- EG_PHY_PAD #(
- //.LOCATION("C8"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u3 (
- .do({open_n87,open_n88,open_n89,seg_pad[7]}),
- .opad(seg[7])); // ../rtl/peripherals/FM_Display.v(13)
- EG_PHY_PAD #(
- //.LOCATION("A8"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u4 (
- .do({open_n104,open_n105,open_n106,seg_pad[6]}),
- .opad(seg[6])); // ../rtl/peripherals/FM_Display.v(13)
- EG_PHY_PAD #(
- //.LOCATION("B5"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u5 (
- .do({open_n121,open_n122,open_n123,seg_pad[5]}),
- .opad(seg[5])); // ../rtl/peripherals/FM_Display.v(13)
- EG_PHY_PAD #(
- //.LOCATION("A7"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u6 (
- .do({open_n138,open_n139,open_n140,seg_pad[4]}),
- .opad(seg[4])); // ../rtl/peripherals/FM_Display.v(13)
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u67 (
- .a(FM_HW_state[3]),
- .b(FM_HW_state[2]),
- .c(FM_HW_state[1]),
- .d(ChannelNO_or_FREQ),
- .o(n89));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*~A)"),
- .INIT(16'h0010))
- _al_u68 (
- .a(FM_HW_state[3]),
- .b(FM_HW_state[2]),
- .c(FM_HW_state[1]),
- .d(ChannelNO_or_FREQ),
- .o(n29));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u69 (
- .a(wraddr[10]),
- .b(wraddr[9]),
- .c(wraddr[8]),
- .d(wraddr[7]),
- .o(_al_u69_o));
- EG_PHY_PAD #(
- //.LOCATION("E8"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u7 (
- .do({open_n155,open_n156,open_n157,seg_pad[3]}),
- .opad(seg[3])); // ../rtl/peripherals/FM_Display.v(13)
- AL_MAP_LUT5 #(
- .EQN("(E*~D*~C*~B*A)"),
- .INIT(32'h00020000))
- _al_u70 (
- .a(_al_u69_o),
- .b(wraddr[6]),
- .c(wraddr[5]),
- .d(wraddr[4]),
- .e(wraddr[3]),
- .o(_al_u70_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u71 (
- .a(_al_u70_o),
- .b(wraddr[2]),
- .c(wraddr[1]),
- .d(wraddr[0]),
- .o(_al_u71_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u72 (
- .a(wea[1]),
- .b(wea[0]),
- .c(wraddr[12]),
- .d(wraddr[11]),
- .o(_al_u72_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u73 (
- .a(_al_u71_o),
- .b(_al_u72_o),
- .c(wea[3]),
- .d(wea[2]),
- .o(n3));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u74 (
- .a(thousand_digit[0]),
- .b(thousand_digit[1]),
- .c(thousand_digit[2]),
- .d(thousand_digit[3]),
- .o(_al_u74_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(~B*A)))"),
- .INIT(16'hdf0f))
- _al_u75 (
- .a(_al_u74_o),
- .b(sel_pad[1]),
- .c(ctrl_freq[0]),
- .d(ctrl_freq[1]),
- .o(n110[1]));
- AL_MAP_LUT4 #(
- .EQN("(~((~B*A))*C*~(D)+(~B*A)*C*~(D)+~((~B*A))*~(C)*D+(~B*A)*~(C)*D+~((~B*A))*C*D)"),
- .INIT(16'hdff0))
- _al_u76 (
- .a(_al_u74_o),
- .b(sel_pad[0]),
- .c(ctrl_freq[0]),
- .d(ctrl_freq[1]),
- .o(n110[0]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(~B*~A))"),
- .INIT(8'he0))
- _al_u77 (
- .a(channel_NO[1]),
- .b(channel_NO[2]),
- .c(channel_NO[3]),
- .o(\lt2/o_3_lutinv ));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(~D*~B))"),
- .INIT(16'ha080))
- _al_u78 (
- .a(n29),
- .b(\lt2/o_3_lutinv ),
- .c(ctrl_channel_NO),
- .d(channel_NO[4]),
- .o(_al_u78_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u79 (
- .a(FM_HW_state[3]),
- .b(FM_HW_state[2]),
- .c(FM_HW_state[1]),
- .o(n28_lutinv));
- EG_PHY_PAD #(
- //.LOCATION("B8"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u8 (
- .do({open_n172,open_n173,open_n174,seg_pad[2]}),
- .opad(seg[2])); // ../rtl/peripherals/FM_Display.v(13)
- AL_MAP_LUT4 #(
- .EQN("(~A*~(B*~(D*C)))"),
- .INIT(16'h5111))
- _al_u80 (
- .a(n29),
- .b(n28_lutinv),
- .c(ctrl_freq[0]),
- .d(ctrl_freq[1]),
- .o(_al_u80_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(B*~(E*~(~D*C))))"),
- .INIT(32'h55151111))
- _al_u81 (
- .a(_al_u78_o),
- .b(_al_u80_o),
- .c(n89),
- .d(_al_u74_o),
- .e(sel_pad[3]),
- .o(n116[3]));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u82 (
- .a(counter_1Khz[6]),
- .b(counter_1Khz[7]),
- .c(counter_1Khz[8]),
- .d(counter_1Khz[9]),
- .o(_al_u82_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*D*~C*~B*A)"),
- .INIT(32'h00000200))
- _al_u83 (
- .a(_al_u82_o),
- .b(counter_1Khz[2]),
- .c(counter_1Khz[3]),
- .d(counter_1Khz[4]),
- .e(counter_1Khz[5]),
- .o(_al_u83_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u84 (
- .a(counter_1Khz[12]),
- .b(counter_1Khz[13]),
- .c(counter_1Khz[14]),
- .d(counter_1Khz[15]),
- .o(_al_u84_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u85 (
- .a(counter_1Khz[0]),
- .b(counter_1Khz[1]),
- .c(counter_1Khz[10]),
- .d(counter_1Khz[11]),
- .o(_al_u85_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u86 (
- .a(_al_u83_o),
- .b(_al_u84_o),
- .c(_al_u85_o),
- .o(_al_u86_o));
- AL_MAP_LUT3 #(
- .EQN("~(~A*~(~C*B))"),
- .INIT(8'hae))
- _al_u87 (
- .a(_al_u86_o),
- .b(clk_1KHz),
- .c(n19),
- .o(n23));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u88 (
- .a(_al_u86_o),
- .b(n20[9]),
- .c(n19),
- .d(counter_1Khz[9]),
- .o(n24[9]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u89 (
- .a(_al_u86_o),
- .b(n20[8]),
- .c(n19),
- .d(counter_1Khz[8]),
- .o(n24[8]));
- EG_PHY_PAD #(
- //.LOCATION("A6"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("NONE"),
- //.SLEWRATE("SLOW"),
- .DRIVE("8"),
- .IOTYPE("LVCMOS33"),
- .MODE("OUT"),
- .TSMUX("0"))
- _al_u9 (
- .do({open_n189,open_n190,open_n191,seg_pad[1]}),
- .opad(seg[1])); // ../rtl/peripherals/FM_Display.v(13)
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u90 (
- .a(_al_u86_o),
- .b(n20[7]),
- .c(n19),
- .d(counter_1Khz[7]),
- .o(n24[7]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u91 (
- .a(_al_u86_o),
- .b(n20[6]),
- .c(n19),
- .d(counter_1Khz[6]),
- .o(n24[6]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u92 (
- .a(_al_u86_o),
- .b(n20[5]),
- .c(n19),
- .d(counter_1Khz[5]),
- .o(n24[5]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u93 (
- .a(_al_u86_o),
- .b(n20[4]),
- .c(n19),
- .d(counter_1Khz[4]),
- .o(n24[4]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u94 (
- .a(_al_u86_o),
- .b(n20[3]),
- .c(n19),
- .d(counter_1Khz[3]),
- .o(n24[3]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u95 (
- .a(_al_u86_o),
- .b(n20[2]),
- .c(n19),
- .d(counter_1Khz[2]),
- .o(n24[2]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u96 (
- .a(_al_u86_o),
- .b(n20[15]),
- .c(n19),
- .d(counter_1Khz[15]),
- .o(n24[15]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u97 (
- .a(_al_u86_o),
- .b(n20[14]),
- .c(n19),
- .d(counter_1Khz[14]),
- .o(n24[14]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u98 (
- .a(_al_u86_o),
- .b(n20[13]),
- .c(n19),
- .d(counter_1Khz[13]),
- .o(n24[13]));
- AL_MAP_LUT4 #(
- .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h4540))
- _al_u99 (
- .a(_al_u86_o),
- .b(n20[12]),
- .c(n19),
- .d(counter_1Khz[12]),
- .o(n24[12]));
- EG_PHY_LSLICE #(
- //.MACRO("add0/ucin_al_u246"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add0/u11_al_u249 (
- .a({conter[13],conter[11]}),
- .b({conter[14],conter[12]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add0/c11 ),
- .f({n12[13],n12[11]}),
- .fco(\add0/c15 ),
- .fx({n12[14],n12[12]}));
- EG_PHY_LSLICE #(
- //.MACRO("add0/ucin_al_u246"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add0/u15_al_u250 (
- .a({conter[17],conter[15]}),
- .b({conter[18],conter[16]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add0/c15 ),
- .f({n12[17],n12[15]}),
- .fco(\add0/c19 ),
- .fx({n12[18],n12[16]}));
- EG_PHY_LSLICE #(
- //.MACRO("add0/ucin_al_u246"),
- //.R_POSITION("X0Y2Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add0/u19_al_u251 (
- .a({conter[21],conter[19]}),
- .b({conter[22],conter[20]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add0/c19 ),
- .f({n12[21],n12[19]}),
- .fco(\add0/c23 ),
- .fx({n12[22],n12[20]}));
- EG_PHY_LSLICE #(
- //.MACRO("add0/ucin_al_u246"),
- //.R_POSITION("X0Y3Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add0/u23_al_u252 (
- .a({conter[25],conter[23]}),
- .b({open_n259,conter[24]}),
- .c(2'b00),
- .d(2'b00),
- .e({open_n262,1'b0}),
- .fci(\add0/c23 ),
- .f({n12[25],n12[23]}),
- .fx({open_n278,n12[24]}));
- EG_PHY_LSLICE #(
- //.MACRO("add0/ucin_al_u246"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add0/u3_al_u247 (
- .a({conter[5],conter[3]}),
- .b({conter[6],conter[4]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add0/c3 ),
- .f({n12[5],n12[3]}),
- .fco(\add0/c7 ),
- .fx({n12[6],n12[4]}));
- EG_PHY_LSLICE #(
- //.MACRO("add0/ucin_al_u246"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add0/u7_al_u248 (
- .a({conter[9],conter[7]}),
- .b({conter[10],conter[8]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add0/c7 ),
- .f({n12[9],n12[7]}),
- .fco(\add0/c11 ),
- .fx({n12[10],n12[8]}));
- EG_PHY_LSLICE #(
- //.MACRO("add0/ucin_al_u246"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add0/ucin_al_u246 (
- .a({conter[1],1'b0}),
- .b({conter[2],conter[0]}),
- .c(2'b00),
- .d(2'b01),
- .e(2'b01),
- .f({n12[1],open_n334}),
- .fco(\add0/c3 ),
- .fx({n12[2],n12[0]}));
- EG_PHY_LSLICE #(
- //.MACRO("add1/ucin_al_u253"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add1/u11_al_u256 (
- .a({counter_1Khz[13],counter_1Khz[11]}),
- .b({counter_1Khz[14],counter_1Khz[12]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add1/c11 ),
- .f({n20[13],n20[11]}),
- .fco(\add1/c15 ),
- .fx({n20[14],n20[12]}));
- EG_PHY_LSLICE #(
- //.MACRO("add1/ucin_al_u253"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add1/u15_al_u257 (
- .a({open_n355,counter_1Khz[15]}),
- .c(2'b00),
- .d({open_n360,1'b0}),
- .fci(\add1/c15 ),
- .f({open_n377,n20[15]}));
- EG_PHY_LSLICE #(
- //.MACRO("add1/ucin_al_u253"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add1/u3_al_u254 (
- .a({counter_1Khz[5],counter_1Khz[3]}),
- .b({counter_1Khz[6],counter_1Khz[4]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add1/c3 ),
- .f({n20[5],n20[3]}),
- .fco(\add1/c7 ),
- .fx({n20[6],n20[4]}));
- EG_PHY_LSLICE #(
- //.MACRO("add1/ucin_al_u253"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add1/u7_al_u255 (
- .a({counter_1Khz[9],counter_1Khz[7]}),
- .b({counter_1Khz[10],counter_1Khz[8]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add1/c7 ),
- .f({n20[9],n20[7]}),
- .fco(\add1/c11 ),
- .fx({n20[10],n20[8]}));
- EG_PHY_LSLICE #(
- //.MACRO("add1/ucin_al_u253"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add1/ucin_al_u253 (
- .a({counter_1Khz[1],1'b0}),
- .b({counter_1Khz[2],counter_1Khz[0]}),
- .c(2'b00),
- .d(2'b01),
- .e(2'b01),
- .f({n20[1],open_n436}),
- .fco(\add1/c3 ),
- .fx({n20[2],n20[0]}));
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- clk_1Hz_reg (
- .clk(clk),
- .d(n15),
- .sr(RSTn),
- .q(clk_1Hz)); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- clk_1KHz_reg (
- .clk(clk),
- .d(n23),
- .sr(RSTn),
- .q(clk_1KHz)); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- ctrl_channel_NO_reg (
- .ce(n29),
- .clk(clk_1KHz),
- .d(n87),
- .q(ctrl_channel_NO)); // ../rtl/peripherals/FM_Display.v(99)
- EG_PHY_MSLICE #(
- //.MACRO("lt0_0|lt0_cin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("A_LE_B_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt0_0|lt0_cin (
- .a({conter[0],1'b0}),
- .b({1'b0,open_n442}),
- .fco(lt0_c1));
- EG_PHY_MSLICE #(
- //.MACRO("lt0_0|lt0_cin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt0_10|lt0_9 (
- .a(conter[10:9]),
- .b(2'b00),
- .fci(lt0_c9),
- .fco(lt0_c11));
- EG_PHY_MSLICE #(
- //.MACRO("lt0_0|lt0_cin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt0_12|lt0_11 (
- .a(conter[12:11]),
- .b(2'b10),
- .fci(lt0_c11),
- .fco(lt0_c13));
- EG_PHY_MSLICE #(
- //.MACRO("lt0_0|lt0_cin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt0_14|lt0_13 (
- .a(conter[14:13]),
- .b(2'b11),
- .fci(lt0_c13),
- .fco(lt0_c15));
- EG_PHY_MSLICE #(
- //.MACRO("lt0_0|lt0_cin"),
- //.R_POSITION("X0Y4Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt0_16|lt0_15 (
- .a(conter[16:15]),
- .b(2'b01),
- .fci(lt0_c15),
- .fco(lt0_c17));
- EG_PHY_MSLICE #(
- //.MACRO("lt0_0|lt0_cin"),
- //.R_POSITION("X0Y4Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt0_18|lt0_17 (
- .a(conter[18:17]),
- .b(2'b01),
- .fci(lt0_c17),
- .fco(lt0_c19));
- EG_PHY_MSLICE #(
- //.MACRO("lt0_0|lt0_cin"),
- //.R_POSITION("X0Y5Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt0_20|lt0_19 (
- .a(conter[20:19]),
- .b(2'b11),
- .fci(lt0_c19),
- .fco(lt0_c21));
- EG_PHY_MSLICE #(
- //.MACRO("lt0_0|lt0_cin"),
- //.R_POSITION("X0Y5Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt0_22|lt0_21 (
- .a(conter[22:21]),
- .b(2'b11),
- .fci(lt0_c21),
- .fco(lt0_c23));
- EG_PHY_MSLICE #(
- //.MACRO("lt0_0|lt0_cin"),
- //.R_POSITION("X0Y6Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt0_24|lt0_23 (
- .a(conter[24:23]),
- .b(2'b01),
- .fci(lt0_c23),
- .fco(lt0_c25));
- EG_PHY_MSLICE #(
- //.MACRO("lt0_0|lt0_cin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt0_2|lt0_1 (
- .a(conter[2:1]),
- .b(2'b00),
- .fci(lt0_c1),
- .fco(lt0_c3));
- EG_PHY_MSLICE #(
- //.MACRO("lt0_0|lt0_cin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt0_4|lt0_3 (
- .a(conter[4:3]),
- .b(2'b00),
- .fci(lt0_c3),
- .fco(lt0_c5));
- EG_PHY_MSLICE #(
- //.MACRO("lt0_0|lt0_cin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt0_6|lt0_5 (
- .a(conter[6:5]),
- .b(2'b00),
- .fci(lt0_c5),
- .fco(lt0_c7));
- EG_PHY_MSLICE #(
- //.MACRO("lt0_0|lt0_cin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt0_8|lt0_7 (
- .a(conter[8:7]),
- .b(2'b01),
- .fci(lt0_c7),
- .fco(lt0_c9));
- EG_PHY_MSLICE #(
- //.MACRO("lt0_0|lt0_cin"),
- //.R_POSITION("X0Y6Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt0_cout|lt0_25 (
- .a({1'b0,conter[25]}),
- .b(2'b11),
- .fci(lt0_c25),
- .f({n11,open_n774}));
- EG_PHY_MSLICE #(
- //.MACRO("lt1_0|lt1_cin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("A_LE_B_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt1_0|lt1_cin (
- .a({counter_1Khz[0],1'b0}),
- .b({1'b0,open_n780}),
- .fco(lt1_c1));
- EG_PHY_MSLICE #(
- //.MACRO("lt1_0|lt1_cin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt1_10|lt1_9 (
- .a(counter_1Khz[10:9]),
- .b(2'b01),
- .fci(lt1_c9),
- .fco(lt1_c11));
- EG_PHY_MSLICE #(
- //.MACRO("lt1_0|lt1_cin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt1_12|lt1_11 (
- .a(counter_1Khz[12:11]),
- .b(2'b00),
- .fci(lt1_c11),
- .fco(lt1_c13));
- EG_PHY_MSLICE #(
- //.MACRO("lt1_0|lt1_cin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt1_14|lt1_13 (
- .a(counter_1Khz[14:13]),
- .b(2'b10),
- .fci(lt1_c13),
- .fco(lt1_c15));
- EG_PHY_MSLICE #(
- //.MACRO("lt1_0|lt1_cin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt1_2|lt1_1 (
- .a(counter_1Khz[2:1]),
- .b(2'b00),
- .fci(lt1_c1),
- .fco(lt1_c3));
- EG_PHY_MSLICE #(
- //.MACRO("lt1_0|lt1_cin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt1_4|lt1_3 (
- .a(counter_1Khz[4:3]),
- .b(2'b10),
- .fci(lt1_c3),
- .fco(lt1_c5));
- EG_PHY_MSLICE #(
- //.MACRO("lt1_0|lt1_cin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt1_6|lt1_5 (
- .a(counter_1Khz[6:5]),
- .b(2'b10),
- .fci(lt1_c5),
- .fco(lt1_c7));
- EG_PHY_MSLICE #(
- //.MACRO("lt1_0|lt1_cin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt1_8|lt1_7 (
- .a(counter_1Khz[8:7]),
- .b(2'b10),
- .fci(lt1_c7),
- .fco(lt1_c9));
- EG_PHY_MSLICE #(
- //.MACRO("lt1_0|lt1_cin"),
- //.R_POSITION("X0Y4Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \lt1_cout|lt1_15 (
- .a({1'b0,counter_1Khz[15]}),
- .b(2'b11),
- .fci(lt1_c15),
- .f({n19,open_n992}));
- AL_MAP_LUT2 #(
- .EQN("(A*~B+~A*B)"),
- .INIT(4'b0110))
- mux29_oh_b1_rom0 (
- .a(ctrl_freq[0]),
- .b(ctrl_freq[1]),
- .o(n112[1]));
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg0_b0 (
- .ce(n3),
- .clk(clk),
- .d(wdata[5]),
- .sr(RSTn),
- .q(frac_digit[0])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg0_b1 (
- .ce(n3),
- .clk(clk),
- .d(wdata[6]),
- .sr(RSTn),
- .q(frac_digit[1])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg0_b2 (
- .ce(n3),
- .clk(clk),
- .d(wdata[7]),
- .sr(RSTn),
- .q(frac_digit[2])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg0_b3 (
- .ce(n3),
- .clk(clk),
- .d(wdata[8]),
- .sr(RSTn),
- .q(frac_digit[3])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg1_b0 (
- .ce(n3),
- .clk(clk),
- .d(wdata[9]),
- .sr(RSTn),
- .q(single_digit[0])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg1_b1 (
- .ce(n3),
- .clk(clk),
- .d(wdata[10]),
- .sr(RSTn),
- .q(single_digit[1])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg1_b2 (
- .ce(n3),
- .clk(clk),
- .d(wdata[11]),
- .sr(RSTn),
- .q(single_digit[2])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg1_b3 (
- .ce(n3),
- .clk(clk),
- .d(wdata[12]),
- .sr(RSTn),
- .q(single_digit[3])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg2_b0 (
- .ce(n3),
- .clk(clk),
- .d(wdata[13]),
- .sr(RSTn),
- .q(percentage_digit[0])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg2_b1 (
- .ce(n3),
- .clk(clk),
- .d(wdata[14]),
- .sr(RSTn),
- .q(percentage_digit[1])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg2_b2 (
- .ce(n3),
- .clk(clk),
- .d(wdata[15]),
- .sr(RSTn),
- .q(percentage_digit[2])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg2_b3 (
- .ce(n3),
- .clk(clk),
- .d(wdata[16]),
- .sr(RSTn),
- .q(percentage_digit[3])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg3_b0 (
- .ce(n3),
- .clk(clk),
- .d(wdata[17]),
- .sr(RSTn),
- .q(thousand_digit[0])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg3_b1 (
- .ce(n3),
- .clk(clk),
- .d(wdata[18]),
- .sr(RSTn),
- .q(thousand_digit[1])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg3_b2 (
- .ce(n3),
- .clk(clk),
- .d(wdata[19]),
- .sr(RSTn),
- .q(thousand_digit[2])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg3_b3 (
- .ce(n3),
- .clk(clk),
- .d(wdata[20]),
- .sr(RSTn),
- .q(thousand_digit[3])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b0 (
- .clk(clk),
- .d(n16[0]),
- .sr(RSTn),
- .q(conter[0])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b1 (
- .clk(clk),
- .d(n16[1]),
- .sr(RSTn),
- .q(conter[1])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b10 (
- .clk(clk),
- .d(n16[10]),
- .sr(RSTn),
- .q(conter[10])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b11 (
- .clk(clk),
- .d(n16[11]),
- .sr(RSTn),
- .q(conter[11])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b12 (
- .clk(clk),
- .d(n16[12]),
- .sr(RSTn),
- .q(conter[12])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b13 (
- .clk(clk),
- .d(n16[13]),
- .sr(RSTn),
- .q(conter[13])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b14 (
- .clk(clk),
- .d(n16[14]),
- .sr(RSTn),
- .q(conter[14])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b15 (
- .clk(clk),
- .d(n16[15]),
- .sr(RSTn),
- .q(conter[15])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b16 (
- .clk(clk),
- .d(n16[16]),
- .sr(RSTn),
- .q(conter[16])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b17 (
- .clk(clk),
- .d(n16[17]),
- .sr(RSTn),
- .q(conter[17])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b18 (
- .clk(clk),
- .d(n16[18]),
- .sr(RSTn),
- .q(conter[18])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b19 (
- .clk(clk),
- .d(n16[19]),
- .sr(RSTn),
- .q(conter[19])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b2 (
- .clk(clk),
- .d(n16[2]),
- .sr(RSTn),
- .q(conter[2])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b20 (
- .clk(clk),
- .d(n16[20]),
- .sr(RSTn),
- .q(conter[20])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b21 (
- .clk(clk),
- .d(n16[21]),
- .sr(RSTn),
- .q(conter[21])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b22 (
- .clk(clk),
- .d(n16[22]),
- .sr(RSTn),
- .q(conter[22])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b23 (
- .clk(clk),
- .d(n16[23]),
- .sr(RSTn),
- .q(conter[23])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b24 (
- .clk(clk),
- .d(n16[24]),
- .sr(RSTn),
- .q(conter[24])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b25 (
- .clk(clk),
- .d(n16[25]),
- .sr(RSTn),
- .q(conter[25])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b3 (
- .clk(clk),
- .d(n16[3]),
- .sr(RSTn),
- .q(conter[3])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b4 (
- .clk(clk),
- .d(n16[4]),
- .sr(RSTn),
- .q(conter[4])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b5 (
- .clk(clk),
- .d(n16[5]),
- .sr(RSTn),
- .q(conter[5])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b6 (
- .clk(clk),
- .d(n16[6]),
- .sr(RSTn),
- .q(conter[6])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b7 (
- .clk(clk),
- .d(n16[7]),
- .sr(RSTn),
- .q(conter[7])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b8 (
- .clk(clk),
- .d(n16[8]),
- .sr(RSTn),
- .q(conter[8])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b9 (
- .clk(clk),
- .d(n16[9]),
- .sr(RSTn),
- .q(conter[9])); // ../rtl/peripherals/FM_Display.v(48)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b0 (
- .clk(clk),
- .d(n24[0]),
- .sr(RSTn),
- .q(counter_1Khz[0])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b1 (
- .clk(clk),
- .d(n24[1]),
- .sr(RSTn),
- .q(counter_1Khz[1])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b10 (
- .clk(clk),
- .d(n24[10]),
- .sr(RSTn),
- .q(counter_1Khz[10])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b11 (
- .clk(clk),
- .d(n24[11]),
- .sr(RSTn),
- .q(counter_1Khz[11])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b12 (
- .clk(clk),
- .d(n24[12]),
- .sr(RSTn),
- .q(counter_1Khz[12])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b13 (
- .clk(clk),
- .d(n24[13]),
- .sr(RSTn),
- .q(counter_1Khz[13])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b14 (
- .clk(clk),
- .d(n24[14]),
- .sr(RSTn),
- .q(counter_1Khz[14])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b15 (
- .clk(clk),
- .d(n24[15]),
- .sr(RSTn),
- .q(counter_1Khz[15])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b2 (
- .clk(clk),
- .d(n24[2]),
- .sr(RSTn),
- .q(counter_1Khz[2])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b3 (
- .clk(clk),
- .d(n24[3]),
- .sr(RSTn),
- .q(counter_1Khz[3])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b4 (
- .clk(clk),
- .d(n24[4]),
- .sr(RSTn),
- .q(counter_1Khz[4])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b5 (
- .clk(clk),
- .d(n24[5]),
- .sr(RSTn),
- .q(counter_1Khz[5])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b6 (
- .clk(clk),
- .d(n24[6]),
- .sr(RSTn),
- .q(counter_1Khz[6])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b7 (
- .clk(clk),
- .d(n24[7]),
- .sr(RSTn),
- .q(counter_1Khz[7])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b8 (
- .clk(clk),
- .d(n24[8]),
- .sr(RSTn),
- .q(counter_1Khz[8])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg5_b9 (
- .clk(clk),
- .d(n24[9]),
- .sr(RSTn),
- .q(counter_1Khz[9])); // ../rtl/peripherals/FM_Display.v(68)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- reg6_b0 (
- .ce(n89),
- .clk(clk_1KHz),
- .d(n110[0]),
- .sr(n29),
- .q(sel_pad[0])); // ../rtl/peripherals/FM_Display.v(99)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("SYNC"),
- .SRMUX("SR"))
- reg6_b1 (
- .ce(n89),
- .clk(clk_1KHz),
- .d(n110[1]),
- .sr(n29),
- .q(sel_pad[1])); // ../rtl/peripherals/FM_Display.v(99)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg6_b2 (
- .clk(clk_1KHz),
- .d(n116[2]),
- .q(sel_pad[2])); // ../rtl/peripherals/FM_Display.v(99)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg6_b3 (
- .clk(clk_1KHz),
- .d(n116[3]),
- .q(sel_pad[3])); // ../rtl/peripherals/FM_Display.v(99)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b0 (
- .clk(clk_1KHz),
- .d(n117[0]),
- .q(seg_pad[0])); // ../rtl/peripherals/FM_Display.v(99)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b1 (
- .clk(clk_1KHz),
- .d(n117[1]),
- .q(seg_pad[1])); // ../rtl/peripherals/FM_Display.v(99)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b2 (
- .clk(clk_1KHz),
- .d(n117[2]),
- .q(seg_pad[2])); // ../rtl/peripherals/FM_Display.v(99)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b3 (
- .clk(clk_1KHz),
- .d(n117[3]),
- .q(seg_pad[3])); // ../rtl/peripherals/FM_Display.v(99)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b4 (
- .clk(clk_1KHz),
- .d(n117[4]),
- .q(seg_pad[4])); // ../rtl/peripherals/FM_Display.v(99)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b5 (
- .clk(clk_1KHz),
- .d(n117[5]),
- .q(seg_pad[5])); // ../rtl/peripherals/FM_Display.v(99)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b6 (
- .clk(clk_1KHz),
- .d(n117[6]),
- .q(seg_pad[6])); // ../rtl/peripherals/FM_Display.v(99)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b7 (
- .clk(clk_1KHz),
- .d(n117[7]),
- .q(seg_pad[7])); // ../rtl/peripherals/FM_Display.v(99)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg8_b0 (
- .ce(n89),
- .clk(clk_1KHz),
- .d(n112[0]),
- .q(ctrl_freq[0])); // ../rtl/peripherals/FM_Display.v(99)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg8_b1 (
- .ce(n89),
- .clk(clk_1KHz),
- .d(n112[1]),
- .q(ctrl_freq[1])); // ../rtl/peripherals/FM_Display.v(99)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg9_b0 (
- .ce(n3),
- .clk(clk),
- .d(wdata[0]),
- .sr(RSTn),
- .q(channel_NO[0])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg9_b1 (
- .ce(n3),
- .clk(clk),
- .d(wdata[1]),
- .sr(RSTn),
- .q(channel_NO[1])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg9_b2 (
- .ce(n3),
- .clk(clk),
- .d(wdata[2]),
- .sr(RSTn),
- .q(channel_NO[2])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg9_b3 (
- .ce(n3),
- .clk(clk),
- .d(wdata[3]),
- .sr(RSTn),
- .q(channel_NO[3])); // ../rtl/peripherals/FM_Display.v(30)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg9_b4 (
- .ce(n3),
- .clk(clk),
- .d(wdata[4]),
- .sr(RSTn),
- .q(channel_NO[4])); // ../rtl/peripherals/FM_Display.v(30)
-
-endmodule
-
-module FM_HW // ../rtl/demodulation/FM_HW.v(2)
- (
- ADC_start,
- RSTn,
- clk,
- rdaddr,
- wdata,
- wea,
- wraddr,
- Demo_Dump_Done_Interrupt,
- FM_HW_state,
- IQ_Write_Done_interrupt,
- LED_Out,
- RSSI_interrupt,
- audio_pwm,
- rdata
- );
-
- input ADC_start; // ../rtl/demodulation/FM_HW.v(6)
- input RSTn; // ../rtl/demodulation/FM_HW.v(7)
- input clk; // ../rtl/demodulation/FM_HW.v(5)
- input [12:0] rdaddr; // ../rtl/demodulation/FM_HW.v(11)
- input [31:0] wdata; // ../rtl/demodulation/FM_HW.v(12)
- input [3:0] wea; // ../rtl/demodulation/FM_HW.v(13)
- input [12:0] wraddr; // ../rtl/demodulation/FM_HW.v(10)
- output Demo_Dump_Done_Interrupt; // ../rtl/demodulation/FM_HW.v(18)
- output [3:0] FM_HW_state; // ../rtl/demodulation/FM_HW.v(15)
- output IQ_Write_Done_interrupt; // ../rtl/demodulation/FM_HW.v(17)
- output [7:0] LED_Out; // ../rtl/demodulation/FM_HW.v(8)
- output RSSI_interrupt; // ../rtl/demodulation/FM_HW.v(16)
- output audio_pwm; // ../rtl/demodulation/FM_HW.v(19)
- output [31:0] rdata; // ../rtl/demodulation/FM_HW.v(14)
-
- parameter FM_ADDR_WIDTH = 13;
- // localparam FM_HW_STATE_IDLE = 4'b0000;
- // localparam FM_HW_STATE_RCEV = 4'b0010;
- // localparam FM_HW_STATE_RSSI = 4'b0100;
- // localparam FM_HW_STATE_RSSI_DONE = 4'b1000;
- // localparam dumpIQ_or_audio = 1'b1;
- wire [11:0] ADC_Data; // ../rtl/demodulation/FM_HW.v(106)
- wire [11:0] \Audio_PWM/cnt ; // ../rtl/peripherals/Audio_PWM.v(14)
- wire [11:0] \Audio_PWM/n2 ;
- wire [11:0] \Audio_PWM/n3 ;
- wire [2:0] Channel; // ../rtl/demodulation/FM_HW.v(92)
- wire [3:0] \FM_Dump_Data_IQ/Data_dump_state ; // ../rtl/demodulation/FM_Dump_Data.v(34)
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_100 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_101 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_102 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_108 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_109 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_110 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_111 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_122 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_123 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_24 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_25 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_26 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_27 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_32 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_33 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_35 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_4 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_42 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_43 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_45 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_46 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_5 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_52 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_53 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_55 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_56 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_57 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_6 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_66 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_67 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_7 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_72 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_74 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_75 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_76 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_78 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_88 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_92 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_93 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_94 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B4_12 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_0 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_108 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_110 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_111 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_112 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_113 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_114 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_115 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_116 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_117 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_12 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_13 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_14 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_16 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_18 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_19 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_2 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_20 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_21 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_22 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_24 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_26 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_27 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_28 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_29 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_3 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_30 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_33 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_34 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_35 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_36 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_38 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_39 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_4 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_5 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_6 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_60 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_62 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_63 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_64 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_65 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_66 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_94 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_95 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_0 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_2 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_0 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_1 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_104 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_106 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_107 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_110 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_112 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_113 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_114 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_115 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_116 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_117 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_118 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_119 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_124 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_125 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_126 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_2 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_24 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_26 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_27 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_3 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_30 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_31 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_32 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_33 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_34 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_35 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_36 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_37 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_60 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_62 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_63 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_66 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_67 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_68 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_70 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_71 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_92 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_96 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B2_51 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_6 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_8 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B4_14 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B5_3 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_104 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_105 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_106 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_107 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_120 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_121 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_122 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_123 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_16 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_18 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_20 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_21 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_22 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_32 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_38 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_4 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_5 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_56 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_58 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_59 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_6 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_60 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_61 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_62 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_63 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_64 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_66 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_67 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_68 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_69 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_7 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_70 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_71 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_72 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_73 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_74 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_75 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_78 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_80 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_82 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_83 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_84 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_85 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_86 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_87 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_92 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_93 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_94 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_95 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_96 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_97 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_98 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_99 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B2_2 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B2_3 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_10 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_7 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_10 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_106 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_107 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_112 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_113 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_114 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_115 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_116 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_117 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_16 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_17 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_18 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_19 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_20 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_21 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_22 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_23 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_30 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_36 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_37 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_38 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_39 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_46 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_47 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_48 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_49 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_50 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_51 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_52 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_53 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_54 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_55 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_60 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_61 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_62 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_8 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_80 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_81 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_82 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_83 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_9 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_96 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_97 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_98 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_99 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B2_48 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B2_49 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_2 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_26 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_28 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_113 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_114 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_20 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_21 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_32 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_33 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_35 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_4 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_40 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_41 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_42 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_43 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_45 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_46 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_47 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_5 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_6 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_60 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_62 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_63 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_64 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_66 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_67 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_7 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_72 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_73 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_74 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_75 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_76 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_78 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_88 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_90 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_91 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_94 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_96 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_97 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_98 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B3_17 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B4_15 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B5_7 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B7_0 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_0 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_104 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_105 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_106 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_107 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_116 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_117 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_118 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_119 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_12 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_13 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_14 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_2 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_26 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_27 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_3 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_36 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_37 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_38 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_4 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_5 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_54 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_6 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_64 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_67 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_68 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_7 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_70 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_72 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_73 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_74 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_75 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_77 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_78 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_79 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_87 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_92 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_94 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_95 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B2_52 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B2_53 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B3_9 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B4_0 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_0 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_1 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_122 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_123 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_2 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_3 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_34 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_35 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_56 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_57 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_58 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_59 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_64 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_66 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_67 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_68 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_69 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_70 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_71 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_72 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_73 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_74 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_75 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_78 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_8 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_84 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_85 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_86 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_88 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_89 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_9 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_90 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_91 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B2_42 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_10 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_8 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B6_0 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B6_3 ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_waddr ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_di ;
- wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_waddr ;
- wire [12:0] \FM_Dump_Data_IQ/dump_data_addr ; // ../rtl/demodulation/FM_Dump_Data.v(65)
- wire [3:0] \FM_Dump_Data_IQ/n32 ;
- wire [12:0] \FM_Dump_Data_IQ/n39 ;
- wire [12:0] \FM_Dump_Data_IQ/n46 ;
- wire [7:0] \FM_Dump_Data_IQ/n62 ;
- wire [7:0] \FM_RSSI_SCAN/IdataN ; // ../rtl/demodulation/FM_RSSI.v(21)
- wire [7:0] \FM_RSSI_SCAN/QdataN ; // ../rtl/demodulation/FM_RSSI.v(22)
- wire [29:0] \FM_RSSI_SCAN/RSSI_SUM ; // ../rtl/demodulation/FM_RSSI.v(82)
- wire [12:0] \FM_RSSI_SCAN/counter ; // ../rtl/demodulation/FM_RSSI.v(67)
- wire [31:0] \FM_RSSI_SCAN/multlII/n1 ;
- wire [7:0] \FM_RSSI_SCAN/multlII/n2 ;
- wire [15:0] \FM_RSSI_SCAN/multlII/n6 ;
- wire [31:0] \FM_RSSI_SCAN/multlQQ/n1 ;
- wire [7:0] \FM_RSSI_SCAN/multlQQ/n2 ;
- wire [15:0] \FM_RSSI_SCAN/multlQQ/n6 ;
- wire [29:0] \FM_RSSI_SCAN/n15 ;
- wire [12:0] \FM_RSSI_SCAN/n16 ;
- wire [29:0] \FM_RSSI_SCAN/n19 ;
- wire [8:0] \FM_RSSI_SCAN/n2 ;
- wire [12:0] \FM_RSSI_SCAN/n20 ;
- wire [9:0] demodulated_signal_downsample; // ../rtl/demodulation/FM_HW.v(147)
- wire [16:0] n0;
- wire [3:0] n21;
- wire [31:0] rd_DUMP; // ../rtl/demodulation/FM_HW.v(24)
- wire [31:0] rd_SCAN; // ../rtl/demodulation/FM_HW.v(25)
- wire ADC_CLK; // ../rtl/demodulation/FM_HW.v(72)
- wire \ADC_Data[0]_keep ;
- wire \ADC_Data[10]_keep ;
- wire \ADC_Data[11]_keep ;
- wire \ADC_Data[1]_keep ;
- wire \ADC_Data[2]_keep ;
- wire \ADC_Data[3]_keep ;
- wire \ADC_Data[4]_keep ;
- wire \ADC_Data[5]_keep ;
- wire \ADC_Data[6]_keep ;
- wire \ADC_Data[7]_keep ;
- wire \ADC_Data[8]_keep ;
- wire \ADC_Data[9]_keep ;
- wire \Audio_PWM/N ; // ../rtl/peripherals/Audio_PWM.v(18)
- wire \Audio_PWM/N_1 ; // ../rtl/peripherals/Audio_PWM.v(17)
- wire \Audio_PWM/add0/c11 ; // ../rtl/peripherals/Audio_PWM.v(28)
- wire \Audio_PWM/add0/c3 ; // ../rtl/peripherals/Audio_PWM.v(28)
- wire \Audio_PWM/add0/c7 ; // ../rtl/peripherals/Audio_PWM.v(28)
- wire \Audio_PWM/audio_pwm_reg ; // ../rtl/peripherals/Audio_PWM.v(15)
- wire \Audio_PWM/lt1_c1 ;
- wire \Audio_PWM/lt1_c11 ;
- wire \Audio_PWM/lt1_c3 ;
- wire \Audio_PWM/lt1_c5 ;
- wire \Audio_PWM/lt1_c7 ;
- wire \Audio_PWM/lt1_c9 ;
- wire CW_CLK; // ../rtl/demodulation/FM_HW.v(71)
- wire \Channel[1]_neg ;
- wire EOC; // ../rtl/demodulation/FM_HW.v(23)
- wire \FM_Dump_Data_IQ/Dump_done ; // ../rtl/demodulation/FM_Dump_Data.v(64)
- wire \FM_Dump_Data_IQ/add0/c11 ; // ../rtl/demodulation/FM_Dump_Data.v(88)
- wire \FM_Dump_Data_IQ/add0/c3 ; // ../rtl/demodulation/FM_Dump_Data.v(88)
- wire \FM_Dump_Data_IQ/add0/c7 ; // ../rtl/demodulation/FM_Dump_Data.v(88)
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_000 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_001 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_002 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_003 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_004 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_005 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_006 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_007 ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_we ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_mode ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_wclk ;
- wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_we ;
- wire \FM_Dump_Data_IQ/and_n5_n3_n0_o ;
- wire \FM_Dump_Data_IQ/dump_done_en ; // ../rtl/demodulation/FM_Dump_Data.v(62)
- wire \FM_Dump_Data_IQ/dump_temp ; // ../rtl/demodulation/FM_Dump_Data.v(63)
- wire \FM_Dump_Data_IQ/lt0_c1 ;
- wire \FM_Dump_Data_IQ/lt0_c11 ;
- wire \FM_Dump_Data_IQ/lt0_c13 ;
- wire \FM_Dump_Data_IQ/lt0_c3 ;
- wire \FM_Dump_Data_IQ/lt0_c5 ;
- wire \FM_Dump_Data_IQ/lt0_c7 ;
- wire \FM_Dump_Data_IQ/lt0_c9 ;
- wire \FM_Dump_Data_IQ/lt1_c1 ;
- wire \FM_Dump_Data_IQ/lt1_c11 ;
- wire \FM_Dump_Data_IQ/lt1_c13 ;
- wire \FM_Dump_Data_IQ/lt1_c3 ;
- wire \FM_Dump_Data_IQ/lt1_c5 ;
- wire \FM_Dump_Data_IQ/lt1_c7 ;
- wire \FM_Dump_Data_IQ/lt1_c9 ;
- wire \FM_Dump_Data_IQ/n34 ;
- wire \FM_Dump_Data_IQ/n38 ;
- wire \FM_Dump_Data_IQ/n42 ;
- wire \FM_Dump_Data_IQ/n49 ;
- wire \FM_Dump_Data_IQ/n55 ;
- wire \FM_Dump_Data_IQ/n57 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_1 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_0 ;
- wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_1 ;
- wire \FM_Dump_Data_IQ/n58 ;
- wire \FM_Dump_Data_IQ/n61 ;
- wire \FM_Dump_Data_IQ/u33_sel_is_2_o ;
- wire \FM_RSSI_SCAN/EOC_Count_Demodulate ; // ../rtl/demodulation/FM_RSSI.v(24)
- wire \FM_RSSI_SCAN/RSSI_reg_1 ; // ../rtl/demodulation/FM_RSSI.v(98)
- wire \FM_RSSI_SCAN/RSSI_reg_2 ; // ../rtl/demodulation/FM_RSSI.v(99)
- wire \FM_RSSI_SCAN/add2/c11 ; // ../rtl/demodulation/FM_RSSI.v(90)
- wire \FM_RSSI_SCAN/add2/c3 ; // ../rtl/demodulation/FM_RSSI.v(90)
- wire \FM_RSSI_SCAN/add2/c7 ; // ../rtl/demodulation/FM_RSSI.v(90)
- wire \FM_RSSI_SCAN/done_signal ; // ../rtl/demodulation/FM_RSSI.v(68)
- wire \FM_RSSI_SCAN/lt0_c1 ;
- wire \FM_RSSI_SCAN/lt0_c11 ;
- wire \FM_RSSI_SCAN/lt0_c13 ;
- wire \FM_RSSI_SCAN/lt0_c3 ;
- wire \FM_RSSI_SCAN/lt0_c5 ;
- wire \FM_RSSI_SCAN/lt0_c7 ;
- wire \FM_RSSI_SCAN/lt0_c9 ;
- wire \FM_RSSI_SCAN/multlII/add0/c1 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
- wire \FM_RSSI_SCAN/multlII/add0/c3 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
- wire \FM_RSSI_SCAN/multlII/add0/c5 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
- wire \FM_RSSI_SCAN/multlII/add0/c7 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
- wire \FM_RSSI_SCAN/multlQQ/add0/c1 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
- wire \FM_RSSI_SCAN/multlQQ/add0/c3 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
- wire \FM_RSSI_SCAN/multlQQ/add0/c5 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
- wire \FM_RSSI_SCAN/multlQQ/add0/c7 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
- wire \FM_RSSI_SCAN/mux2_b0_sel_is_3_o ;
- wire \FM_RSSI_SCAN/mux3_b0_sel_is_3_o ;
- wire \FM_RSSI_SCAN/n0_lutinv ;
- wire \FM_RSSI_SCAN/n11 ;
- wire \FM_RSSI_SCAN/n14 ;
- wire \FM_RSSI_SCAN/n25 ;
- wire \FM_RSSI_SCAN/sub0/c1 ; // ../rtl/demodulation/FM_RSSI.v(30)
- wire \FM_RSSI_SCAN/sub0/c3 ; // ../rtl/demodulation/FM_RSSI.v(30)
- wire \FM_RSSI_SCAN/sub0/c5 ; // ../rtl/demodulation/FM_RSSI.v(30)
- wire \FM_RSSI_SCAN/sub0/c7 ; // ../rtl/demodulation/FM_RSSI.v(30)
- wire \U1/clk0_buf ; // al_ip/PLL_Demodulation.v(43)
- wire _al_u1000_o;
- wire _al_u1001_o;
- wire _al_u1003_o;
- wire _al_u1004_o;
- wire _al_u1005_o;
- wire _al_u1006_o;
- wire _al_u1007_o;
- wire _al_u1008_o;
- wire _al_u1009_o;
- wire _al_u1010_o;
- wire _al_u1011_o;
- wire _al_u1012_o;
- wire _al_u1013_o;
- wire _al_u1015_o;
- wire _al_u1016_o;
- wire _al_u1017_o;
- wire _al_u1018_o;
- wire _al_u1019_o;
- wire _al_u1020_o;
- wire _al_u1021_o;
- wire _al_u1022_o;
- wire _al_u1023_o;
- wire _al_u1024_o;
- wire _al_u1025_o;
- wire _al_u1026_o;
- wire _al_u1027_o;
- wire _al_u1028_o;
- wire _al_u1029_o;
- wire _al_u1030_o;
- wire _al_u1031_o;
- wire _al_u1032_o;
- wire _al_u1033_o;
- wire _al_u1034_o;
- wire _al_u1035_o;
- wire _al_u1036_o;
- wire _al_u1037_o;
- wire _al_u1038_o;
- wire _al_u1039_o;
- wire _al_u1040_o;
- wire _al_u1041_o;
- wire _al_u1042_o;
- wire _al_u1043_o;
- wire _al_u1044_o;
- wire _al_u1046_o;
- wire _al_u1048_o;
- wire _al_u1050_o;
- wire _al_u1051_o;
- wire _al_u1053_o;
- wire _al_u1055_o;
- wire _al_u1057_o;
- wire _al_u1058_o;
- wire _al_u1060_o;
- wire _al_u1061_o;
- wire _al_u1063_o;
- wire _al_u1065_o;
- wire _al_u1066_o;
- wire _al_u1067_o;
- wire _al_u1068_o;
- wire _al_u1069_o;
- wire _al_u1070_o;
- wire _al_u1071_o;
- wire _al_u1072_o;
- wire _al_u1073_o;
- wire _al_u1074_o;
- wire _al_u1075_o;
- wire _al_u1077_o;
- wire _al_u1078_o;
- wire _al_u1079_o;
- wire _al_u1080_o;
- wire _al_u1082_o;
- wire _al_u1084_o;
- wire _al_u1085_o;
- wire _al_u1086_o;
- wire _al_u1088_o;
- wire _al_u1090_o;
- wire _al_u1091_o;
- wire _al_u1092_o;
- wire _al_u1093_o;
- wire _al_u1095_o;
- wire _al_u1096_o;
- wire _al_u1097_o;
- wire _al_u1098_o;
- wire _al_u1099_o;
- wire _al_u1100_o;
- wire _al_u1101_o;
- wire _al_u1102_o;
- wire _al_u1103_o;
- wire _al_u1104_o;
- wire _al_u1106_o;
- wire _al_u1108_o;
- wire _al_u1109_o;
- wire _al_u1110_o;
- wire _al_u1111_o;
- wire _al_u1112_o;
- wire _al_u1113_o;
- wire _al_u1114_o;
- wire _al_u1115_o;
- wire _al_u1116_o;
- wire _al_u1117_o;
- wire _al_u1118_o;
- wire _al_u1119_o;
- wire _al_u1120_o;
- wire _al_u1121_o;
- wire _al_u1122_o;
- wire _al_u1123_o;
- wire _al_u1124_o;
- wire _al_u1125_o;
- wire _al_u1126_o;
- wire _al_u1127_o;
- wire _al_u1128_o;
- wire _al_u1129_o;
- wire _al_u1130_o;
- wire _al_u1131_o;
- wire _al_u1133_o;
- wire _al_u1134_o;
- wire _al_u1135_o;
- wire _al_u1136_o;
- wire _al_u1137_o;
- wire _al_u1138_o;
- wire _al_u1139_o;
- wire _al_u1141_o;
- wire _al_u1142_o;
- wire _al_u1143_o;
- wire _al_u1144_o;
- wire _al_u1145_o;
- wire _al_u1146_o;
- wire _al_u1147_o;
- wire _al_u1148_o;
- wire _al_u1149_o;
- wire _al_u1151_o;
- wire _al_u1152_o;
- wire _al_u1153_o;
- wire _al_u1154_o;
- wire _al_u1156_o;
- wire _al_u1158_o;
- wire _al_u1159_o;
- wire _al_u1160_o;
- wire _al_u1161_o;
- wire _al_u1162_o;
- wire _al_u1164_o;
- wire _al_u1165_o;
- wire _al_u1166_o;
- wire _al_u1167_o;
- wire _al_u1168_o;
- wire _al_u1169_o;
- wire _al_u1170_o;
- wire _al_u1171_o;
- wire _al_u1172_o;
- wire _al_u1173_o;
- wire _al_u1174_o;
- wire _al_u1175_o;
- wire _al_u1176_o;
- wire _al_u1177_o;
- wire _al_u1178_o;
- wire _al_u1179_o;
- wire _al_u1180_o;
- wire _al_u1181_o;
- wire _al_u1182_o;
- wire _al_u1183_o;
- wire _al_u1184_o;
- wire _al_u1186_o;
- wire _al_u1188_o;
- wire _al_u1189_o;
- wire _al_u1191_o;
- wire _al_u1193_o;
- wire _al_u1194_o;
- wire _al_u1195_o;
- wire _al_u1196_o;
- wire _al_u1197_o;
- wire _al_u1198_o;
- wire _al_u1199_o;
- wire _al_u1200_o;
- wire _al_u1201_o;
- wire _al_u1202_o;
- wire _al_u1203_o;
- wire _al_u1204_o;
- wire _al_u1205_o;
- wire _al_u1206_o;
- wire _al_u1207_o;
- wire _al_u1208_o;
- wire _al_u1209_o;
- wire _al_u1210_o;
- wire _al_u1211_o;
- wire _al_u1212_o;
- wire _al_u1213_o;
- wire _al_u1214_o;
- wire _al_u1215_o;
- wire _al_u1216_o;
- wire _al_u1217_o;
- wire _al_u1218_o;
- wire _al_u1219_o;
- wire _al_u1220_o;
- wire _al_u1221_o;
- wire _al_u1222_o;
- wire _al_u1223_o;
- wire _al_u1224_o;
- wire _al_u1225_o;
- wire _al_u1226_o;
- wire _al_u1227_o;
- wire _al_u1228_o;
- wire _al_u1229_o;
- wire _al_u1230_o;
- wire _al_u1231_o;
- wire _al_u1232_o;
- wire _al_u1233_o;
- wire _al_u1234_o;
- wire _al_u1235_o;
- wire _al_u1236_o;
- wire _al_u1238_o;
- wire _al_u1240_o;
- wire _al_u1242_o;
- wire _al_u1244_o;
- wire _al_u1246_o;
- wire _al_u1248_o;
- wire _al_u1250_o;
- wire _al_u1251_o;
- wire _al_u1252_o;
- wire _al_u1253_o;
- wire _al_u1254_o;
- wire _al_u1255_o;
- wire _al_u1256_o;
- wire _al_u1257_o;
- wire _al_u1258_o;
- wire _al_u1259_o;
- wire _al_u1260_o;
- wire _al_u1261_o;
- wire _al_u1262_o;
- wire _al_u1263_o;
- wire _al_u1264_o;
- wire _al_u1265_o;
- wire _al_u1266_o;
- wire _al_u1267_o;
- wire _al_u1268_o;
- wire _al_u1269_o;
- wire _al_u1270_o;
- wire _al_u1271_o;
- wire _al_u1272_o;
- wire _al_u1273_o;
- wire _al_u1274_o;
- wire _al_u1275_o;
- wire _al_u1276_o;
- wire _al_u1277_o;
- wire _al_u1278_o;
- wire _al_u1279_o;
- wire _al_u1281_o;
- wire _al_u1282_o;
- wire _al_u1283_o;
- wire _al_u1284_o;
- wire _al_u1286_o;
- wire _al_u1288_o;
- wire _al_u1289_o;
- wire _al_u1290_o;
- wire _al_u1291_o;
- wire _al_u1292_o;
- wire _al_u1293_o;
- wire _al_u1294_o;
- wire _al_u1295_o;
- wire _al_u1296_o;
- wire _al_u1297_o;
- wire _al_u1298_o;
- wire _al_u1299_o;
- wire _al_u1301_o;
- wire _al_u1303_o;
- wire _al_u1305_o;
- wire _al_u1307_o;
- wire _al_u1308_o;
- wire _al_u1309_o;
- wire _al_u1311_o;
- wire _al_u1312_o;
- wire _al_u1313_o;
- wire _al_u1314_o;
- wire _al_u1315_o;
- wire _al_u1316_o;
- wire _al_u1317_o;
- wire _al_u1318_o;
- wire _al_u1319_o;
- wire _al_u1320_o;
- wire _al_u1321_o;
- wire _al_u1322_o;
- wire _al_u1323_o;
- wire _al_u1324_o;
- wire _al_u1325_o;
- wire _al_u1326_o;
- wire _al_u1327_o;
- wire _al_u1328_o;
- wire _al_u1329_o;
- wire _al_u1330_o;
- wire _al_u1331_o;
- wire _al_u1332_o;
- wire _al_u1333_o;
- wire _al_u1334_o;
- wire _al_u1335_o;
- wire _al_u1336_o;
- wire _al_u1337_o;
- wire _al_u1338_o;
- wire _al_u1340_o;
- wire _al_u1342_o;
- wire _al_u1343_o;
- wire _al_u1344_o;
- wire _al_u1346_o;
- wire _al_u1348_o;
- wire _al_u1350_o;
- wire _al_u1351_o;
- wire _al_u1353_o;
- wire _al_u1355_o;
- wire _al_u1356_o;
- wire _al_u1358_o;
- wire _al_u1359_o;
- wire _al_u1360_o;
- wire _al_u1361_o;
- wire _al_u1363_o;
- wire _al_u1364_o;
- wire _al_u1365_o;
- wire _al_u1367_o;
- wire _al_u1369_o;
- wire _al_u1370_o;
- wire _al_u1371_o;
- wire _al_u1372_o;
- wire _al_u1374_o;
- wire _al_u1376_o;
- wire _al_u1377_o;
- wire _al_u1379_o;
- wire _al_u1380_o;
- wire _al_u1381_o;
- wire _al_u1382_o;
- wire _al_u1384_o;
- wire _al_u1386_o;
- wire _al_u1387_o;
- wire _al_u1388_o;
- wire _al_u1390_o;
- wire _al_u1392_o;
- wire _al_u1393_o;
- wire _al_u1395_o;
- wire _al_u1397_o;
- wire _al_u1398_o;
- wire _al_u1400_o;
- wire _al_u1401_o;
- wire _al_u1402_o;
- wire _al_u1403_o;
- wire _al_u1405_o;
- wire _al_u1406_o;
- wire _al_u1407_o;
- wire _al_u1409_o;
- wire _al_u1410_o;
- wire _al_u1411_o;
- wire _al_u1412_o;
- wire _al_u1413_o;
- wire _al_u1414_o;
- wire _al_u1415_o;
- wire _al_u1416_o;
- wire _al_u1417_o;
- wire _al_u1418_o;
- wire _al_u1419_o;
- wire _al_u1421_o;
- wire _al_u1422_o;
- wire _al_u1423_o;
- wire _al_u1424_o;
- wire _al_u1426_o;
- wire _al_u1428_o;
- wire _al_u1429_o;
- wire _al_u1430_o;
- wire _al_u1431_o;
- wire _al_u1432_o;
- wire _al_u1433_o;
- wire _al_u1434_o;
- wire _al_u1435_o;
- wire _al_u1436_o;
- wire _al_u1437_o;
- wire _al_u1438_o;
- wire _al_u1439_o;
- wire _al_u1440_o;
- wire _al_u1441_o;
- wire _al_u1442_o;
- wire _al_u1443_o;
- wire _al_u1444_o;
- wire _al_u1445_o;
- wire _al_u1446_o;
- wire _al_u1447_o;
- wire _al_u1448_o;
- wire _al_u1449_o;
- wire _al_u1450_o;
- wire _al_u1451_o;
- wire _al_u1452_o;
- wire _al_u1453_o;
- wire _al_u1454_o;
- wire _al_u1455_o;
- wire _al_u1456_o;
- wire _al_u1457_o;
- wire _al_u1458_o;
- wire _al_u1459_o;
- wire _al_u1460_o;
- wire _al_u1461_o;
- wire _al_u1462_o;
- wire _al_u1463_o;
- wire _al_u1464_o;
- wire _al_u1465_o;
- wire _al_u1466_o;
- wire _al_u1467_o;
- wire _al_u1468_o;
- wire _al_u1469_o;
- wire _al_u1470_o;
- wire _al_u1471_o;
- wire _al_u1472_o;
- wire _al_u1473_o;
- wire _al_u1474_o;
- wire _al_u1475_o;
- wire _al_u1477_o;
- wire _al_u1479_o;
- wire _al_u1480_o;
- wire _al_u1482_o;
- wire _al_u1483_o;
- wire _al_u1484_o;
- wire _al_u1485_o;
- wire _al_u1486_o;
- wire _al_u1487_o;
- wire _al_u1488_o;
- wire _al_u1489_o;
- wire _al_u1490_o;
- wire _al_u1491_o;
- wire _al_u1492_o;
- wire _al_u1493_o;
- wire _al_u1494_o;
- wire _al_u1495_o;
- wire _al_u1496_o;
- wire _al_u1497_o;
- wire _al_u1498_o;
- wire _al_u1499_o;
- wire _al_u1500_o;
- wire _al_u1501_o;
- wire _al_u1502_o;
- wire _al_u1503_o;
- wire _al_u1504_o;
- wire _al_u1505_o;
- wire _al_u1506_o;
- wire _al_u1507_o;
- wire _al_u1508_o;
- wire _al_u1509_o;
- wire _al_u1510_o;
- wire _al_u1511_o;
- wire _al_u1512_o;
- wire _al_u1513_o;
- wire _al_u1515_o;
- wire _al_u1517_o;
- wire _al_u1518_o;
- wire _al_u1519_o;
- wire _al_u1520_o;
- wire _al_u1521_o;
- wire _al_u1522_o;
- wire _al_u1523_o;
- wire _al_u1524_o;
- wire _al_u1525_o;
- wire _al_u1526_o;
- wire _al_u1527_o;
- wire _al_u1528_o;
- wire _al_u1529_o;
- wire _al_u1530_o;
- wire _al_u1531_o;
- wire _al_u1532_o;
- wire _al_u1533_o;
- wire _al_u1534_o;
- wire _al_u1535_o;
- wire _al_u1536_o;
- wire _al_u1537_o;
- wire _al_u1538_o;
- wire _al_u1539_o;
- wire _al_u1540_o;
- wire _al_u1541_o;
- wire _al_u1543_o;
- wire _al_u1545_o;
- wire _al_u1546_o;
- wire _al_u1547_o;
- wire _al_u1548_o;
- wire _al_u1549_o;
- wire _al_u1550_o;
- wire _al_u1551_o;
- wire _al_u1552_o;
- wire _al_u1554_o;
- wire _al_u1556_o;
- wire _al_u1557_o;
- wire _al_u1559_o;
- wire _al_u1561_o;
- wire _al_u1562_o;
- wire _al_u1563_o;
- wire _al_u1564_o;
- wire _al_u1565_o;
- wire _al_u1566_o;
- wire _al_u1567_o;
- wire _al_u1568_o;
- wire _al_u1569_o;
- wire _al_u1570_o;
- wire _al_u1571_o;
- wire _al_u1572_o;
- wire _al_u1573_o;
- wire _al_u1575_o;
- wire _al_u1577_o;
- wire _al_u1578_o;
- wire _al_u1580_o;
- wire _al_u1581_o;
- wire _al_u1582_o;
- wire _al_u1583_o;
- wire _al_u1584_o;
- wire _al_u1585_o;
- wire _al_u1586_o;
- wire _al_u1587_o;
- wire _al_u1588_o;
- wire _al_u1589_o;
- wire _al_u1590_o;
- wire _al_u1591_o;
- wire _al_u1592_o;
- wire _al_u1593_o;
- wire _al_u1594_o;
- wire _al_u1595_o;
- wire _al_u1596_o;
- wire _al_u1597_o;
- wire _al_u1598_o;
- wire _al_u1599_o;
- wire _al_u1600_o;
- wire _al_u1601_o;
- wire _al_u1602_o;
- wire _al_u1603_o;
- wire _al_u1604_o;
- wire _al_u1605_o;
- wire _al_u1607_o;
- wire _al_u1609_o;
- wire _al_u1610_o;
- wire _al_u1611_o;
- wire _al_u1612_o;
- wire _al_u1614_o;
- wire _al_u1615_o;
- wire _al_u1616_o;
- wire _al_u1618_o;
- wire _al_u1620_o;
- wire _al_u1621_o;
- wire _al_u1622_o;
- wire _al_u1623_o;
- wire _al_u1624_o;
- wire _al_u1626_o;
- wire _al_u1627_o;
- wire _al_u1628_o;
- wire _al_u1629_o;
- wire _al_u1630_o;
- wire _al_u1631_o;
- wire _al_u1632_o;
- wire _al_u1633_o;
- wire _al_u1634_o;
- wire _al_u1635_o;
- wire _al_u1636_o;
- wire _al_u1637_o;
- wire _al_u1638_o;
- wire _al_u1639_o;
- wire _al_u1640_o;
- wire _al_u1641_o;
- wire _al_u1642_o;
- wire _al_u1643_o;
- wire _al_u1644_o;
- wire _al_u1645_o;
- wire _al_u1646_o;
- wire _al_u1647_o;
- wire _al_u1648_o;
- wire _al_u1649_o;
- wire _al_u1650_o;
- wire _al_u1651_o;
- wire _al_u1652_o;
- wire _al_u1653_o;
- wire _al_u1654_o;
- wire _al_u1655_o;
- wire _al_u1656_o;
- wire _al_u1657_o;
- wire _al_u1658_o;
- wire _al_u1659_o;
- wire _al_u1660_o;
- wire _al_u1661_o;
- wire _al_u1662_o;
- wire _al_u1663_o;
- wire _al_u1664_o;
- wire _al_u1665_o;
- wire _al_u1666_o;
- wire _al_u1667_o;
- wire _al_u1668_o;
- wire _al_u1669_o;
- wire _al_u1670_o;
- wire _al_u1671_o;
- wire _al_u1672_o;
- wire _al_u1673_o;
- wire _al_u1674_o;
- wire _al_u1675_o;
- wire _al_u1676_o;
- wire _al_u1677_o;
- wire _al_u1678_o;
- wire _al_u1680_o;
- wire _al_u1681_o;
- wire _al_u1682_o;
- wire _al_u1683_o;
- wire _al_u1685_o;
- wire _al_u1687_o;
- wire _al_u1688_o;
- wire _al_u1689_o;
- wire _al_u1691_o;
- wire _al_u1692_o;
- wire _al_u1693_o;
- wire _al_u1694_o;
- wire _al_u1695_o;
- wire _al_u1696_o;
- wire _al_u1697_o;
- wire _al_u1698_o;
- wire _al_u1699_o;
- wire _al_u1700_o;
- wire _al_u1701_o;
- wire _al_u1702_o;
- wire _al_u1703_o;
- wire _al_u1704_o;
- wire _al_u1705_o;
- wire _al_u1706_o;
- wire _al_u1707_o;
- wire _al_u1708_o;
- wire _al_u1709_o;
- wire _al_u1710_o;
- wire _al_u1711_o;
- wire _al_u1712_o;
- wire _al_u1713_o;
- wire _al_u1714_o;
- wire _al_u1715_o;
- wire _al_u1716_o;
- wire _al_u1717_o;
- wire _al_u1718_o;
- wire _al_u1719_o;
- wire _al_u1720_o;
- wire _al_u1721_o;
- wire _al_u1723_o;
- wire _al_u1725_o;
- wire _al_u1727_o;
- wire _al_u1728_o;
- wire _al_u1730_o;
- wire _al_u1731_o;
- wire _al_u1732_o;
- wire _al_u1733_o;
- wire _al_u1734_o;
- wire _al_u1735_o;
- wire _al_u1736_o;
- wire _al_u1737_o;
- wire _al_u1738_o;
- wire _al_u1739_o;
- wire _al_u1740_o;
- wire _al_u1741_o;
- wire _al_u1742_o;
- wire _al_u1743_o;
- wire _al_u1744_o;
- wire _al_u1745_o;
- wire _al_u1746_o;
- wire _al_u1747_o;
- wire _al_u1748_o;
- wire _al_u1749_o;
- wire _al_u1750_o;
- wire _al_u1751_o;
- wire _al_u1752_o;
- wire _al_u1753_o;
- wire _al_u1755_o;
- wire _al_u1757_o;
- wire _al_u1758_o;
- wire _al_u1760_o;
- wire _al_u1762_o;
- wire _al_u1763_o;
- wire _al_u1764_o;
- wire _al_u1765_o;
- wire _al_u1766_o;
- wire _al_u1767_o;
- wire _al_u1768_o;
- wire _al_u1769_o;
- wire _al_u1770_o;
- wire _al_u1771_o;
- wire _al_u1772_o;
- wire _al_u1773_o;
- wire _al_u1774_o;
- wire _al_u1775_o;
- wire _al_u1776_o;
- wire _al_u1777_o;
- wire _al_u1778_o;
- wire _al_u1779_o;
- wire _al_u1780_o;
- wire _al_u1781_o;
- wire _al_u1782_o;
- wire _al_u1783_o;
- wire _al_u1784_o;
- wire _al_u1785_o;
- wire _al_u1786_o;
- wire _al_u1787_o;
- wire _al_u1788_o;
- wire _al_u1789_o;
- wire _al_u1791_o;
- wire _al_u1792_o;
- wire _al_u1794_o;
- wire _al_u1796_o;
- wire _al_u1797_o;
- wire _al_u1798_o;
- wire _al_u1800_o;
- wire _al_u1801_o;
- wire _al_u1802_o;
- wire _al_u1803_o;
- wire _al_u1805_o;
- wire _al_u1806_o;
- wire _al_u1807_o;
- wire _al_u1808_o;
- wire _al_u1809_o;
- wire _al_u1810_o;
- wire _al_u1812_o;
- wire _al_u1814_o;
- wire _al_u1815_o;
- wire _al_u1816_o;
- wire _al_u1817_o;
- wire _al_u1818_o;
- wire _al_u1819_o;
- wire _al_u1820_o;
- wire _al_u1821_o;
- wire _al_u1822_o;
- wire _al_u1823_o;
- wire _al_u1824_o;
- wire _al_u1825_o;
- wire _al_u1826_o;
- wire _al_u1827_o;
- wire _al_u1828_o;
- wire _al_u1829_o;
- wire _al_u1830_o;
- wire _al_u1831_o;
- wire _al_u1832_o;
- wire _al_u1833_o;
- wire _al_u1834_o;
- wire _al_u1835_o;
- wire _al_u1836_o;
- wire _al_u1838_o;
- wire _al_u1840_o;
- wire _al_u1841_o;
- wire _al_u1842_o;
- wire _al_u1844_o;
- wire _al_u1846_o;
- wire _al_u1847_o;
- wire _al_u1849_o;
- wire _al_u1850_o;
- wire _al_u1851_o;
- wire _al_u1852_o;
- wire _al_u1853_o;
- wire _al_u1854_o;
- wire _al_u1855_o;
- wire _al_u1856_o;
- wire _al_u1857_o;
- wire _al_u1858_o;
- wire _al_u1859_o;
- wire _al_u1860_o;
- wire _al_u1861_o;
- wire _al_u1862_o;
- wire _al_u1863_o;
- wire _al_u1864_o;
- wire _al_u1865_o;
- wire _al_u1866_o;
- wire _al_u1867_o;
- wire _al_u1868_o;
- wire _al_u1869_o;
- wire _al_u1870_o;
- wire _al_u1871_o;
- wire _al_u1872_o;
- wire _al_u1873_o;
- wire _al_u1874_o;
- wire _al_u1875_o;
- wire _al_u1876_o;
- wire _al_u1877_o;
- wire _al_u1879_o;
- wire _al_u1881_o;
- wire _al_u1882_o;
- wire _al_u1884_o;
- wire _al_u1885_o;
- wire _al_u1886_o;
- wire _al_u1888_o;
- wire _al_u1889_o;
- wire _al_u1890_o;
- wire _al_u1891_o;
- wire _al_u1892_o;
- wire _al_u1893_o;
- wire _al_u1894_o;
- wire _al_u1895_o;
- wire _al_u1896_o;
- wire _al_u1897_o;
- wire _al_u1898_o;
- wire _al_u1900_o;
- wire _al_u1902_o;
- wire _al_u1903_o;
- wire _al_u1905_o;
- wire _al_u1907_o;
- wire _al_u1908_o;
- wire _al_u1909_o;
- wire _al_u1910_o;
- wire _al_u1911_o;
- wire _al_u1912_o;
- wire _al_u1913_o;
- wire _al_u1914_o;
- wire _al_u1915_o;
- wire _al_u1916_o;
- wire _al_u1917_o;
- wire _al_u1918_o;
- wire _al_u1919_o;
- wire _al_u1920_o;
- wire _al_u1921_o;
- wire _al_u1922_o;
- wire _al_u1923_o;
- wire _al_u1924_o;
- wire _al_u1925_o;
- wire _al_u1926_o;
- wire _al_u1927_o;
- wire _al_u1928_o;
- wire _al_u1929_o;
- wire _al_u1930_o;
- wire _al_u1931_o;
- wire _al_u1932_o;
- wire _al_u1933_o;
- wire _al_u1934_o;
- wire _al_u1935_o;
- wire _al_u1936_o;
- wire _al_u1937_o;
- wire _al_u1938_o;
- wire _al_u1939_o;
- wire _al_u1940_o;
- wire _al_u1942_o;
- wire _al_u1944_o;
- wire _al_u1945_o;
- wire _al_u1946_o;
- wire _al_u1947_o;
- wire _al_u1948_o;
- wire _al_u1949_o;
- wire _al_u1950_o;
- wire _al_u1951_o;
- wire _al_u1953_o;
- wire _al_u1954_o;
- wire _al_u1955_o;
- wire _al_u1956_o;
- wire _al_u1958_o;
- wire _al_u1960_o;
- wire _al_u1961_o;
- wire _al_u1963_o;
- wire _al_u1964_o;
- wire _al_u1965_o;
- wire _al_u1966_o;
- wire _al_u1967_o;
- wire _al_u1968_o;
- wire _al_u1969_o;
- wire _al_u1970_o;
- wire _al_u1971_o;
- wire _al_u1972_o;
- wire _al_u1973_o;
- wire _al_u1974_o;
- wire _al_u1975_o;
- wire _al_u1976_o;
- wire _al_u1977_o;
- wire _al_u1978_o;
- wire _al_u1979_o;
- wire _al_u1980_o;
- wire _al_u1981_o;
- wire _al_u1982_o;
- wire _al_u1983_o;
- wire _al_u1984_o;
- wire _al_u1985_o;
- wire _al_u1986_o;
- wire _al_u1987_o;
- wire _al_u1988_o;
- wire _al_u1989_o;
- wire _al_u1990_o;
- wire _al_u1991_o;
- wire _al_u1992_o;
- wire _al_u1993_o;
- wire _al_u1994_o;
- wire _al_u1995_o;
- wire _al_u1997_o;
- wire _al_u1998_o;
- wire _al_u1999_o;
- wire _al_u2000_o;
- wire _al_u2002_o;
- wire _al_u2003_o;
- wire _al_u2005_o;
- wire _al_u2006_o;
- wire _al_u2007_o;
- wire _al_u2008_o;
- wire _al_u2010_o;
- wire _al_u2012_o;
- wire _al_u2013_o;
- wire _al_u2014_o;
- wire _al_u2015_o;
- wire _al_u2016_o;
- wire _al_u2017_o;
- wire _al_u2018_o;
- wire _al_u2019_o;
- wire _al_u2020_o;
- wire _al_u2021_o;
- wire _al_u2022_o;
- wire _al_u2023_o;
- wire _al_u2024_o;
- wire _al_u2025_o;
- wire _al_u2026_o;
- wire _al_u2027_o;
- wire _al_u2028_o;
- wire _al_u2029_o;
- wire _al_u2031_o;
- wire _al_u2032_o;
- wire _al_u2034_o;
- wire _al_u2035_o;
- wire _al_u2036_o;
- wire _al_u2038_o;
- wire _al_u2040_o;
- wire _al_u2042_o;
- wire _al_u2044_o;
- wire _al_u2045_o;
- wire _al_u2046_o;
- wire _al_u2047_o;
- wire _al_u2049_o;
- wire _al_u2051_o;
- wire _al_u2052_o;
- wire _al_u2054_o;
- wire _al_u2055_o;
- wire _al_u2057_o;
- wire _al_u2059_o;
- wire _al_u2061_o;
- wire _al_u2063_o;
- wire _al_u2064_o;
- wire _al_u2066_o;
- wire _al_u2068_o;
- wire _al_u2069_o;
- wire _al_u2071_o;
- wire _al_u2072_o;
- wire _al_u2073_o;
- wire _al_u2074_o;
- wire _al_u2075_o;
- wire _al_u2076_o;
- wire _al_u2077_o;
- wire _al_u2078_o;
- wire _al_u2079_o;
- wire _al_u2080_o;
- wire _al_u2081_o;
- wire _al_u2082_o;
- wire _al_u2083_o;
- wire _al_u2084_o;
- wire _al_u2085_o;
- wire _al_u2086_o;
- wire _al_u2087_o;
- wire _al_u2088_o;
- wire _al_u2089_o;
- wire _al_u2090_o;
- wire _al_u2092_o;
- wire _al_u2094_o;
- wire _al_u2095_o;
- wire _al_u2097_o;
- wire _al_u2099_o;
- wire _al_u2100_o;
- wire _al_u2101_o;
- wire _al_u2102_o;
- wire _al_u2103_o;
- wire _al_u2104_o;
- wire _al_u2105_o;
- wire _al_u2106_o;
- wire _al_u2107_o;
- wire _al_u2108_o;
- wire _al_u2109_o;
- wire _al_u2110_o;
- wire _al_u2111_o;
- wire _al_u2112_o;
- wire _al_u2114_o;
- wire _al_u2117_o;
- wire _al_u2119_o;
- wire _al_u2120_o;
- wire _al_u2121_o;
- wire _al_u2123_o;
- wire _al_u2124_o;
- wire _al_u2125_o;
- wire _al_u2126_o;
- wire _al_u2127_o;
- wire _al_u2128_o;
- wire _al_u2129_o;
- wire _al_u2130_o;
- wire _al_u2131_o;
- wire _al_u2132_o;
- wire _al_u2133_o;
- wire _al_u2134_o;
- wire _al_u2135_o;
- wire _al_u2136_o;
- wire _al_u2137_o;
- wire _al_u2138_o;
- wire _al_u2139_o;
- wire _al_u2140_o;
- wire _al_u2141_o;
- wire _al_u2142_o;
- wire _al_u2143_o;
- wire _al_u2144_o;
- wire _al_u2145_o;
- wire _al_u2146_o;
- wire _al_u2147_o;
- wire _al_u2148_o;
- wire _al_u2149_o;
- wire _al_u2150_o;
- wire _al_u2151_o;
- wire _al_u2152_o;
- wire _al_u2153_o;
- wire _al_u2154_o;
- wire _al_u2155_o;
- wire _al_u2156_o;
- wire _al_u2157_o;
- wire _al_u2158_o;
- wire _al_u2159_o;
- wire _al_u2160_o;
- wire _al_u2161_o;
- wire _al_u2162_o;
- wire _al_u2163_o;
- wire _al_u2164_o;
- wire _al_u2165_o;
- wire _al_u2166_o;
- wire _al_u2167_o;
- wire _al_u2168_o;
- wire _al_u2169_o;
- wire _al_u2170_o;
- wire _al_u2171_o;
- wire _al_u2172_o;
- wire _al_u2173_o;
- wire _al_u2174_o;
- wire _al_u2175_o;
- wire _al_u2176_o;
- wire _al_u2177_o;
- wire _al_u2178_o;
- wire _al_u2179_o;
- wire _al_u2180_o;
- wire _al_u2181_o;
- wire _al_u2182_o;
- wire _al_u2183_o;
- wire _al_u2184_o;
- wire _al_u2185_o;
- wire _al_u2186_o;
- wire _al_u2187_o;
- wire _al_u2188_o;
- wire _al_u2189_o;
- wire _al_u2190_o;
- wire _al_u2191_o;
- wire _al_u2192_o;
- wire _al_u2193_o;
- wire _al_u2194_o;
- wire _al_u2196_o;
- wire _al_u2198_o;
- wire _al_u2199_o;
- wire _al_u2201_o;
- wire _al_u2203_o;
- wire _al_u2204_o;
- wire _al_u2206_o;
- wire _al_u2208_o;
- wire _al_u2209_o;
- wire _al_u2211_o;
- wire _al_u2213_o;
- wire _al_u2215_o;
- wire _al_u2216_o;
- wire _al_u2218_o;
- wire _al_u2219_o;
- wire _al_u2221_o;
- wire _al_u2222_o;
- wire _al_u2223_o;
- wire _al_u2224_o;
- wire _al_u2225_o;
- wire _al_u2226_o;
- wire _al_u2227_o;
- wire _al_u2228_o;
- wire _al_u2229_o;
- wire _al_u2230_o;
- wire _al_u2231_o;
- wire _al_u2232_o;
- wire _al_u2233_o;
- wire _al_u2234_o;
- wire _al_u2235_o;
- wire _al_u2236_o;
- wire _al_u2237_o;
- wire _al_u2238_o;
- wire _al_u2239_o;
- wire _al_u2240_o;
- wire _al_u2241_o;
- wire _al_u2242_o;
- wire _al_u2243_o;
- wire _al_u2244_o;
- wire _al_u2245_o;
- wire _al_u2246_o;
- wire _al_u2247_o;
- wire _al_u2248_o;
- wire _al_u2249_o;
- wire _al_u2250_o;
- wire _al_u2251_o;
- wire _al_u2252_o;
- wire _al_u2253_o;
- wire _al_u2254_o;
- wire _al_u2255_o;
- wire _al_u2256_o;
- wire _al_u2257_o;
- wire _al_u2258_o;
- wire _al_u2259_o;
- wire _al_u2260_o;
- wire _al_u2261_o;
- wire _al_u2262_o;
- wire _al_u2263_o;
- wire _al_u2264_o;
- wire _al_u2265_o;
- wire _al_u2266_o;
- wire _al_u2267_o;
- wire _al_u2268_o;
- wire _al_u2269_o;
- wire _al_u2270_o;
- wire _al_u2271_o;
- wire _al_u2272_o;
- wire _al_u2273_o;
- wire _al_u2274_o;
- wire _al_u2275_o;
- wire _al_u2276_o;
- wire _al_u2277_o;
- wire _al_u2278_o;
- wire _al_u2279_o;
- wire _al_u2280_o;
- wire _al_u2281_o;
- wire _al_u2282_o;
- wire _al_u2283_o;
- wire _al_u2284_o;
- wire _al_u2285_o;
- wire _al_u2286_o;
- wire _al_u2287_o;
- wire _al_u2288_o;
- wire _al_u2289_o;
- wire _al_u2290_o;
- wire _al_u2292_o;
- wire _al_u2294_o;
- wire _al_u2295_o;
- wire _al_u2296_o;
- wire _al_u2297_o;
- wire _al_u2299_o;
- wire _al_u2300_o;
- wire _al_u2301_o;
- wire _al_u2302_o;
- wire _al_u2303_o;
- wire _al_u2304_o;
- wire _al_u2305_o;
- wire _al_u2307_o;
- wire _al_u2308_o;
- wire _al_u2309_o;
- wire _al_u2310_o;
- wire _al_u2311_o;
- wire _al_u2312_o;
- wire _al_u2313_o;
- wire _al_u2314_o;
- wire _al_u2315_o;
- wire _al_u2316_o;
- wire _al_u2317_o;
- wire _al_u2318_o;
- wire _al_u2319_o;
- wire _al_u2320_o;
- wire _al_u2321_o;
- wire _al_u2322_o;
- wire _al_u2323_o;
- wire _al_u2324_o;
- wire _al_u2325_o;
- wire _al_u2326_o;
- wire _al_u2327_o;
- wire _al_u2328_o;
- wire _al_u2329_o;
- wire _al_u2330_o;
- wire _al_u2331_o;
- wire _al_u2332_o;
- wire _al_u2333_o;
- wire _al_u2334_o;
- wire _al_u2335_o;
- wire _al_u2336_o;
- wire _al_u2337_o;
- wire _al_u2338_o;
- wire _al_u2339_o;
- wire _al_u2340_o;
- wire _al_u2341_o;
- wire _al_u2342_o;
- wire _al_u2343_o;
- wire _al_u2344_o;
- wire _al_u2345_o;
- wire _al_u2346_o;
- wire _al_u2347_o;
- wire _al_u2348_o;
- wire _al_u2349_o;
- wire _al_u2350_o;
- wire _al_u2351_o;
- wire _al_u2352_o;
- wire _al_u2353_o;
- wire _al_u2354_o;
- wire _al_u2355_o;
- wire _al_u2356_o;
- wire _al_u2357_o;
- wire _al_u2358_o;
- wire _al_u2359_o;
- wire _al_u2360_o;
- wire _al_u2361_o;
- wire _al_u2362_o;
- wire _al_u2363_o;
- wire _al_u2364_o;
- wire _al_u2365_o;
- wire _al_u2366_o;
- wire _al_u2367_o;
- wire _al_u2368_o;
- wire _al_u2369_o;
- wire _al_u2370_o;
- wire _al_u2371_o;
- wire _al_u2372_o;
- wire _al_u2373_o;
- wire _al_u2374_o;
- wire _al_u2375_o;
- wire _al_u2376_o;
- wire _al_u2377_o;
- wire _al_u2378_o;
- wire _al_u2380_o;
- wire _al_u2382_o;
- wire _al_u2383_o;
- wire _al_u2384_o;
- wire _al_u2385_o;
- wire _al_u2387_o;
- wire _al_u2388_o;
- wire _al_u2390_o;
- wire _al_u2391_o;
- wire _al_u2392_o;
- wire _al_u2393_o;
- wire _al_u2394_o;
- wire _al_u2396_o;
- wire _al_u2398_o;
- wire _al_u2399_o;
- wire _al_u2401_o;
- wire _al_u2403_o;
- wire _al_u2404_o;
- wire _al_u2405_o;
- wire _al_u2407_o;
- wire _al_u2409_o;
- wire _al_u2410_o;
- wire _al_u2412_o;
- wire _al_u2413_o;
- wire _al_u2414_o;
- wire _al_u2415_o;
- wire _al_u2416_o;
- wire _al_u2418_o;
- wire _al_u2419_o;
- wire _al_u2420_o;
- wire _al_u2421_o;
- wire _al_u2423_o;
- wire _al_u2424_o;
- wire _al_u2425_o;
- wire _al_u2426_o;
- wire _al_u2427_o;
- wire _al_u2428_o;
- wire _al_u2429_o;
- wire _al_u2430_o;
- wire _al_u2431_o;
- wire _al_u2432_o;
- wire _al_u2433_o;
- wire _al_u2434_o;
- wire _al_u2435_o;
- wire _al_u2436_o;
- wire _al_u2437_o;
- wire _al_u2438_o;
- wire _al_u2439_o;
- wire _al_u2441_o;
- wire _al_u2442_o;
- wire _al_u2443_o;
- wire _al_u2444_o;
- wire _al_u2446_o;
- wire _al_u2448_o;
- wire _al_u2449_o;
- wire _al_u2450_o;
- wire _al_u2451_o;
- wire _al_u2452_o;
- wire _al_u2453_o;
- wire _al_u2454_o;
- wire _al_u2455_o;
- wire _al_u2456_o;
- wire _al_u2457_o;
- wire _al_u2458_o;
- wire _al_u2459_o;
- wire _al_u2460_o;
- wire _al_u2461_o;
- wire _al_u2462_o;
- wire _al_u2463_o;
- wire _al_u2464_o;
- wire _al_u2465_o;
- wire _al_u2466_o;
- wire _al_u2468_o;
- wire _al_u2469_o;
- wire _al_u2470_o;
- wire _al_u2471_o;
- wire _al_u2472_o;
- wire _al_u2473_o;
- wire _al_u2474_o;
- wire _al_u2475_o;
- wire _al_u2476_o;
- wire _al_u2477_o;
- wire _al_u2478_o;
- wire _al_u2479_o;
- wire _al_u2480_o;
- wire _al_u2481_o;
- wire _al_u2482_o;
- wire _al_u2483_o;
- wire _al_u2484_o;
- wire _al_u2485_o;
- wire _al_u2486_o;
- wire _al_u2487_o;
- wire _al_u2488_o;
- wire _al_u2489_o;
- wire _al_u2490_o;
- wire _al_u2492_o;
- wire _al_u2493_o;
- wire _al_u2494_o;
- wire _al_u2495_o;
- wire _al_u2496_o;
- wire _al_u2497_o;
- wire _al_u2498_o;
- wire _al_u2499_o;
- wire _al_u2500_o;
- wire _al_u2501_o;
- wire _al_u2502_o;
- wire _al_u2503_o;
- wire _al_u2504_o;
- wire _al_u2505_o;
- wire _al_u2506_o;
- wire _al_u2507_o;
- wire _al_u2508_o;
- wire _al_u2509_o;
- wire _al_u2510_o;
- wire _al_u2511_o;
- wire _al_u2512_o;
- wire _al_u2513_o;
- wire _al_u2514_o;
- wire _al_u2515_o;
- wire _al_u2516_o;
- wire _al_u2517_o;
- wire _al_u2518_o;
- wire _al_u2519_o;
- wire _al_u2520_o;
- wire _al_u2521_o;
- wire _al_u2522_o;
- wire _al_u2523_o;
- wire _al_u2524_o;
- wire _al_u2525_o;
- wire _al_u2526_o;
- wire _al_u2527_o;
- wire _al_u2528_o;
- wire _al_u2529_o;
- wire _al_u2530_o;
- wire _al_u2531_o;
- wire _al_u2532_o;
- wire _al_u2533_o;
- wire _al_u2534_o;
- wire _al_u2535_o;
- wire _al_u2536_o;
- wire _al_u2537_o;
- wire _al_u2538_o;
- wire _al_u2540_o;
- wire _al_u2542_o;
- wire _al_u2544_o;
- wire _al_u2546_o;
- wire _al_u2547_o;
- wire _al_u2548_o;
- wire _al_u2549_o;
- wire _al_u2550_o;
- wire _al_u2551_o;
- wire _al_u2552_o;
- wire _al_u2553_o;
- wire _al_u2554_o;
- wire _al_u2555_o;
- wire _al_u2556_o;
- wire _al_u2557_o;
- wire _al_u2558_o;
- wire _al_u2560_o;
- wire _al_u2562_o;
- wire _al_u2563_o;
- wire _al_u2565_o;
- wire _al_u2567_o;
- wire _al_u2568_o;
- wire _al_u2570_o;
- wire _al_u2572_o;
- wire _al_u2573_o;
- wire _al_u2575_o;
- wire _al_u2576_o;
- wire _al_u2577_o;
- wire _al_u2579_o;
- wire _al_u2580_o;
- wire _al_u2581_o;
- wire _al_u2582_o;
- wire _al_u2583_o;
- wire _al_u2584_o;
- wire _al_u2585_o;
- wire _al_u2586_o;
- wire _al_u2587_o;
- wire _al_u2588_o;
- wire _al_u2589_o;
- wire _al_u2591_o;
- wire _al_u2592_o;
- wire _al_u2593_o;
- wire _al_u2594_o;
- wire _al_u2596_o;
- wire _al_u2598_o;
- wire _al_u2599_o;
- wire _al_u2600_o;
- wire _al_u2601_o;
- wire _al_u2602_o;
- wire _al_u2603_o;
- wire _al_u2604_o;
- wire _al_u2605_o;
- wire _al_u2606_o;
- wire _al_u2607_o;
- wire _al_u2608_o;
- wire _al_u2609_o;
- wire _al_u2610_o;
- wire _al_u2611_o;
- wire _al_u2612_o;
- wire _al_u2613_o;
- wire _al_u2614_o;
- wire _al_u2615_o;
- wire _al_u2616_o;
- wire _al_u2617_o;
- wire _al_u2618_o;
- wire _al_u2619_o;
- wire _al_u2620_o;
- wire _al_u2621_o;
- wire _al_u2622_o;
- wire _al_u2623_o;
- wire _al_u2624_o;
- wire _al_u2625_o;
- wire _al_u2626_o;
- wire _al_u2627_o;
- wire _al_u2628_o;
- wire _al_u2629_o;
- wire _al_u2630_o;
- wire _al_u2631_o;
- wire _al_u2632_o;
- wire _al_u2633_o;
- wire _al_u2634_o;
- wire _al_u2635_o;
- wire _al_u2637_o;
- wire _al_u2638_o;
- wire _al_u2640_o;
- wire _al_u2641_o;
- wire _al_u2642_o;
- wire _al_u2643_o;
- wire _al_u2645_o;
- wire _al_u2648_o;
- wire _al_u2650_o;
- wire _al_u2653_o;
- wire _al_u2654_o;
- wire _al_u2655_o;
- wire _al_u2656_o;
- wire _al_u2657_o;
- wire _al_u2658_o;
- wire _al_u2659_o;
- wire _al_u2660_o;
- wire _al_u2661_o;
- wire _al_u2662_o;
- wire _al_u2663_o;
- wire _al_u2664_o;
- wire _al_u2665_o;
- wire _al_u2666_o;
- wire _al_u2668_o;
- wire _al_u2670_o;
- wire _al_u2671_o;
- wire _al_u2673_o;
- wire _al_u2675_o;
- wire _al_u2676_o;
- wire _al_u2677_o;
- wire _al_u2678_o;
- wire _al_u2679_o;
- wire _al_u2680_o;
- wire _al_u2681_o;
- wire _al_u2682_o;
- wire _al_u2683_o;
- wire _al_u2684_o;
- wire _al_u2685_o;
- wire _al_u2686_o;
- wire _al_u2687_o;
- wire _al_u2688_o;
- wire _al_u2689_o;
- wire _al_u2690_o;
- wire _al_u2691_o;
- wire _al_u2692_o;
- wire _al_u2693_o;
- wire _al_u2694_o;
- wire _al_u2695_o;
- wire _al_u2696_o;
- wire _al_u2697_o;
- wire _al_u2698_o;
- wire _al_u2699_o;
- wire _al_u2700_o;
- wire _al_u2701_o;
- wire _al_u2702_o;
- wire _al_u2703_o;
- wire _al_u2704_o;
- wire _al_u2705_o;
- wire _al_u2706_o;
- wire _al_u2707_o;
- wire _al_u2708_o;
- wire _al_u2709_o;
- wire _al_u2710_o;
- wire _al_u2711_o;
- wire _al_u2712_o;
- wire _al_u2713_o;
- wire _al_u2714_o;
- wire _al_u2715_o;
- wire _al_u2716_o;
- wire _al_u2717_o;
- wire _al_u2718_o;
- wire _al_u2719_o;
- wire _al_u2720_o;
- wire _al_u2721_o;
- wire _al_u2722_o;
- wire _al_u2723_o;
- wire _al_u2724_o;
- wire _al_u2725_o;
- wire _al_u2726_o;
- wire _al_u2727_o;
- wire _al_u2729_o;
- wire _al_u2730_o;
- wire _al_u2731_o;
- wire _al_u2732_o;
- wire _al_u2733_o;
- wire _al_u2734_o;
- wire _al_u2735_o;
- wire _al_u2736_o;
- wire _al_u2737_o;
- wire _al_u2738_o;
- wire _al_u2739_o;
- wire _al_u2740_o;
- wire _al_u2741_o;
- wire _al_u2742_o;
- wire _al_u2743_o;
- wire _al_u2744_o;
- wire _al_u2745_o;
- wire _al_u2746_o;
- wire _al_u2747_o;
- wire _al_u2748_o;
- wire _al_u2749_o;
- wire _al_u2750_o;
- wire _al_u2751_o;
- wire _al_u2752_o;
- wire _al_u2753_o;
- wire _al_u2754_o;
- wire _al_u2755_o;
- wire _al_u2756_o;
- wire _al_u2757_o;
- wire _al_u2758_o;
- wire _al_u2759_o;
- wire _al_u2760_o;
- wire _al_u2762_o;
- wire _al_u2763_o;
- wire _al_u2764_o;
- wire _al_u2765_o;
- wire _al_u2767_o;
- wire _al_u2769_o;
- wire _al_u2770_o;
- wire _al_u2771_o;
- wire _al_u2772_o;
- wire _al_u2773_o;
- wire _al_u2774_o;
- wire _al_u2775_o;
- wire _al_u2776_o;
- wire _al_u2777_o;
- wire _al_u2778_o;
- wire _al_u2779_o;
- wire _al_u2780_o;
- wire _al_u2781_o;
- wire _al_u2782_o;
- wire _al_u2783_o;
- wire _al_u2784_o;
- wire _al_u2785_o;
- wire _al_u2786_o;
- wire _al_u2787_o;
- wire _al_u2788_o;
- wire _al_u2789_o;
- wire _al_u2790_o;
- wire _al_u2791_o;
- wire _al_u2792_o;
- wire _al_u2793_o;
- wire _al_u2794_o;
- wire _al_u2795_o;
- wire _al_u2796_o;
- wire _al_u2797_o;
- wire _al_u2798_o;
- wire _al_u2799_o;
- wire _al_u2800_o;
- wire _al_u2801_o;
- wire _al_u2802_o;
- wire _al_u2803_o;
- wire _al_u2804_o;
- wire _al_u2805_o;
- wire _al_u2806_o;
- wire _al_u2807_o;
- wire _al_u2809_o;
- wire _al_u2811_o;
- wire _al_u2812_o;
- wire _al_u2813_o;
- wire _al_u2814_o;
- wire _al_u2815_o;
- wire _al_u2816_o;
- wire _al_u2818_o;
- wire _al_u2819_o;
- wire _al_u2821_o;
- wire _al_u2823_o;
- wire _al_u2824_o;
- wire _al_u2825_o;
- wire _al_u2827_o;
- wire _al_u2829_o;
- wire _al_u2830_o;
- wire _al_u2832_o;
- wire _al_u2834_o;
- wire _al_u2835_o;
- wire _al_u2836_o;
- wire _al_u2838_o;
- wire _al_u2840_o;
- wire _al_u2841_o;
- wire _al_u2843_o;
- wire _al_u2844_o;
- wire _al_u2845_o;
- wire _al_u2846_o;
- wire _al_u2847_o;
- wire _al_u2848_o;
- wire _al_u2849_o;
- wire _al_u2850_o;
- wire _al_u2851_o;
- wire _al_u2852_o;
- wire _al_u2853_o;
- wire _al_u2854_o;
- wire _al_u2855_o;
- wire _al_u2856_o;
- wire _al_u2857_o;
- wire _al_u2858_o;
- wire _al_u2859_o;
- wire _al_u2860_o;
- wire _al_u2861_o;
- wire _al_u2862_o;
- wire _al_u2863_o;
- wire _al_u2864_o;
- wire _al_u2865_o;
- wire _al_u2866_o;
- wire _al_u2867_o;
- wire _al_u2868_o;
- wire _al_u2869_o;
- wire _al_u2870_o;
- wire _al_u2872_o;
- wire _al_u2874_o;
- wire _al_u2876_o;
- wire _al_u2877_o;
- wire _al_u2879_o;
- wire _al_u2880_o;
- wire _al_u2881_o;
- wire _al_u2882_o;
- wire _al_u2883_o;
- wire _al_u2884_o;
- wire _al_u2885_o;
- wire _al_u2886_o;
- wire _al_u2887_o;
- wire _al_u2888_o;
- wire _al_u2889_o;
- wire _al_u2890_o;
- wire _al_u2891_o;
- wire _al_u2892_o;
- wire _al_u2893_o;
- wire _al_u2894_o;
- wire _al_u2895_o;
- wire _al_u2896_o;
- wire _al_u2897_o;
- wire _al_u2898_o;
- wire _al_u2899_o;
- wire _al_u2900_o;
- wire _al_u2901_o;
- wire _al_u2903_o;
- wire _al_u2904_o;
- wire _al_u2905_o;
- wire _al_u2906_o;
- wire _al_u2907_o;
- wire _al_u2908_o;
- wire _al_u2909_o;
- wire _al_u2910_o;
- wire _al_u2911_o;
- wire _al_u2913_o;
- wire _al_u2914_o;
- wire _al_u2915_o;
- wire _al_u2917_o;
- wire _al_u2919_o;
- wire _al_u2920_o;
- wire _al_u2922_o;
- wire _al_u2923_o;
- wire _al_u2924_o;
- wire _al_u2926_o;
- wire _al_u2928_o;
- wire _al_u2929_o;
- wire _al_u2931_o;
- wire _al_u2933_o;
- wire _al_u2934_o;
- wire _al_u2936_o;
- wire _al_u2937_o;
- wire _al_u2938_o;
- wire _al_u2939_o;
- wire _al_u2940_o;
- wire _al_u2941_o;
- wire _al_u2943_o;
- wire _al_u2944_o;
- wire _al_u2945_o;
- wire _al_u2946_o;
- wire _al_u2947_o;
- wire _al_u2948_o;
- wire _al_u2949_o;
- wire _al_u2950_o;
- wire _al_u2951_o;
- wire _al_u2952_o;
- wire _al_u2953_o;
- wire _al_u2954_o;
- wire _al_u2955_o;
- wire _al_u2956_o;
- wire _al_u2957_o;
- wire _al_u2958_o;
- wire _al_u2959_o;
- wire _al_u2960_o;
- wire _al_u2961_o;
- wire _al_u2962_o;
- wire _al_u2963_o;
- wire _al_u2964_o;
- wire _al_u2965_o;
- wire _al_u2966_o;
- wire _al_u2968_o;
- wire _al_u2969_o;
- wire _al_u2970_o;
- wire _al_u2971_o;
- wire _al_u2972_o;
- wire _al_u2973_o;
- wire _al_u2974_o;
- wire _al_u2975_o;
- wire _al_u2976_o;
- wire _al_u2978_o;
- wire _al_u2979_o;
- wire _al_u2980_o;
- wire _al_u2982_o;
- wire _al_u2983_o;
- wire _al_u2985_o;
- wire _al_u2986_o;
- wire _al_u2987_o;
- wire _al_u2988_o;
- wire _al_u2989_o;
- wire _al_u2990_o;
- wire _al_u2991_o;
- wire _al_u2992_o;
- wire _al_u2993_o;
- wire _al_u2994_o;
- wire _al_u2995_o;
- wire _al_u2996_o;
- wire _al_u2997_o;
- wire _al_u2998_o;
- wire _al_u2999_o;
- wire _al_u3000_o;
- wire _al_u3001_o;
- wire _al_u3002_o;
- wire _al_u3003_o;
- wire _al_u3004_o;
- wire _al_u3005_o;
- wire _al_u3006_o;
- wire _al_u3007_o;
- wire _al_u3008_o;
- wire _al_u3010_o;
- wire _al_u3011_o;
- wire _al_u3012_o;
- wire _al_u3013_o;
- wire _al_u3014_o;
- wire _al_u3015_o;
- wire _al_u3016_o;
- wire _al_u3017_o;
- wire _al_u3018_o;
- wire _al_u3019_o;
- wire _al_u3020_o;
- wire _al_u3022_o;
- wire _al_u3023_o;
- wire _al_u3024_o;
- wire _al_u3025_o;
- wire _al_u3026_o;
- wire _al_u3027_o;
- wire _al_u3029_o;
- wire _al_u3031_o;
- wire _al_u3032_o;
- wire _al_u3033_o;
- wire _al_u3034_o;
- wire _al_u3035_o;
- wire _al_u3036_o;
- wire _al_u3037_o;
- wire _al_u3038_o;
- wire _al_u3039_o;
- wire _al_u3040_o;
- wire _al_u3041_o;
- wire _al_u3042_o;
- wire _al_u3043_o;
- wire _al_u3044_o;
- wire _al_u3045_o;
- wire _al_u3046_o;
- wire _al_u3047_o;
- wire _al_u3048_o;
- wire _al_u3049_o;
- wire _al_u3050_o;
- wire _al_u3051_o;
- wire _al_u3052_o;
- wire _al_u3053_o;
- wire _al_u3054_o;
- wire _al_u3055_o;
- wire _al_u3056_o;
- wire _al_u3057_o;
- wire _al_u3058_o;
- wire _al_u3059_o;
- wire _al_u3060_o;
- wire _al_u3061_o;
- wire _al_u3063_o;
- wire _al_u3064_o;
- wire _al_u3065_o;
- wire _al_u3066_o;
- wire _al_u3068_o;
- wire _al_u3070_o;
- wire _al_u3071_o;
- wire _al_u3072_o;
- wire _al_u3074_o;
- wire _al_u3076_o;
- wire _al_u3078_o;
- wire _al_u3080_o;
- wire _al_u3082_o;
- wire _al_u3084_o;
- wire _al_u3086_o;
- wire _al_u3088_o;
- wire _al_u3089_o;
- wire _al_u3090_o;
- wire _al_u3091_o;
- wire _al_u3092_o;
- wire _al_u3093_o;
- wire _al_u3094_o;
- wire _al_u3095_o;
- wire _al_u3096_o;
- wire _al_u3097_o;
- wire _al_u3098_o;
- wire _al_u3099_o;
- wire _al_u3100_o;
- wire _al_u3101_o;
- wire _al_u3102_o;
- wire _al_u3103_o;
- wire _al_u3104_o;
- wire _al_u3105_o;
- wire _al_u3106_o;
- wire _al_u3107_o;
- wire _al_u3108_o;
- wire _al_u3109_o;
- wire _al_u3110_o;
- wire _al_u3111_o;
- wire _al_u3112_o;
- wire _al_u3113_o;
- wire _al_u3114_o;
- wire _al_u3115_o;
- wire _al_u3116_o;
- wire _al_u3118_o;
- wire _al_u3121_o;
- wire _al_u3123_o;
- wire _al_u3126_o;
- wire _al_u3127_o;
- wire _al_u3128_o;
- wire _al_u3129_o;
- wire _al_u3130_o;
- wire _al_u3131_o;
- wire _al_u3132_o;
- wire _al_u3133_o;
- wire _al_u3134_o;
- wire _al_u3135_o;
- wire _al_u3136_o;
- wire _al_u3137_o;
- wire _al_u3138_o;
- wire _al_u3139_o;
- wire _al_u3140_o;
- wire _al_u3141_o;
- wire _al_u3142_o;
- wire _al_u3143_o;
- wire _al_u3144_o;
- wire _al_u3145_o;
- wire _al_u3146_o;
- wire _al_u3147_o;
- wire _al_u3148_o;
- wire _al_u3149_o;
- wire _al_u3150_o;
- wire _al_u3151_o;
- wire _al_u3152_o;
- wire _al_u3153_o;
- wire _al_u3155_o;
- wire _al_u3158_o;
- wire _al_u3159_o;
- wire _al_u3160_o;
- wire _al_u3162_o;
- wire _al_u3164_o;
- wire _al_u3165_o;
- wire _al_u3167_o;
- wire _al_u3169_o;
- wire _al_u3170_o;
- wire _al_u3172_o;
- wire _al_u3174_o;
- wire _al_u3175_o;
- wire _al_u3177_o;
- wire _al_u3179_o;
- wire _al_u3180_o;
- wire _al_u3182_o;
- wire _al_u3183_o;
- wire _al_u3184_o;
- wire _al_u3185_o;
- wire _al_u3187_o;
- wire _al_u3189_o;
- wire _al_u3190_o;
- wire _al_u3191_o;
- wire _al_u3192_o;
- wire _al_u3193_o;
- wire _al_u3194_o;
- wire _al_u3195_o;
- wire _al_u3196_o;
- wire _al_u3197_o;
- wire _al_u3198_o;
- wire _al_u3199_o;
- wire _al_u3200_o;
- wire _al_u3201_o;
- wire _al_u3202_o;
- wire _al_u3203_o;
- wire _al_u3204_o;
- wire _al_u3205_o;
- wire _al_u3206_o;
- wire _al_u3207_o;
- wire _al_u3208_o;
- wire _al_u3209_o;
- wire _al_u3210_o;
- wire _al_u3211_o;
- wire _al_u3212_o;
- wire _al_u3213_o;
- wire _al_u3215_o;
- wire _al_u3217_o;
- wire _al_u3218_o;
- wire _al_u3220_o;
- wire _al_u3222_o;
- wire _al_u3223_o;
- wire _al_u3224_o;
- wire _al_u3225_o;
- wire _al_u3226_o;
- wire _al_u3227_o;
- wire _al_u3228_o;
- wire _al_u3229_o;
- wire _al_u3230_o;
- wire _al_u3231_o;
- wire _al_u3232_o;
- wire _al_u3233_o;
- wire _al_u3234_o;
- wire _al_u3236_o;
- wire _al_u3238_o;
- wire _al_u3239_o;
- wire _al_u3240_o;
- wire _al_u3241_o;
- wire _al_u3242_o;
- wire _al_u3243_o;
- wire _al_u3244_o;
- wire _al_u3245_o;
- wire _al_u3246_o;
- wire _al_u3248_o;
- wire _al_u3250_o;
- wire _al_u3251_o;
- wire _al_u3253_o;
- wire _al_u3255_o;
- wire _al_u3256_o;
- wire _al_u3257_o;
- wire _al_u3258_o;
- wire _al_u3259_o;
- wire _al_u3260_o;
- wire _al_u3261_o;
- wire _al_u3262_o;
- wire _al_u3263_o;
- wire _al_u3264_o;
- wire _al_u3265_o;
- wire _al_u3266_o;
- wire _al_u3267_o;
- wire _al_u3268_o;
- wire _al_u3270_o;
- wire _al_u3272_o;
- wire _al_u3274_o;
- wire _al_u3275_o;
- wire _al_u3276_o;
- wire _al_u3278_o;
- wire _al_u3279_o;
- wire _al_u3280_o;
- wire _al_u3281_o;
- wire _al_u3282_o;
- wire _al_u3283_o;
- wire _al_u3284_o;
- wire _al_u3285_o;
- wire _al_u3286_o;
- wire _al_u3287_o;
- wire _al_u3288_o;
- wire _al_u3289_o;
- wire _al_u3290_o;
- wire _al_u3291_o;
- wire _al_u3292_o;
- wire _al_u3293_o;
- wire _al_u3294_o;
- wire _al_u3295_o;
- wire _al_u3296_o;
- wire _al_u3297_o;
- wire _al_u3298_o;
- wire _al_u3299_o;
- wire _al_u3300_o;
- wire _al_u3301_o;
- wire _al_u3302_o;
- wire _al_u3303_o;
- wire _al_u3304_o;
- wire _al_u3305_o;
- wire _al_u3306_o;
- wire _al_u3307_o;
- wire _al_u3308_o;
- wire _al_u3309_o;
- wire _al_u3310_o;
- wire _al_u3312_o;
- wire _al_u3313_o;
- wire _al_u3314_o;
- wire _al_u3315_o;
- wire _al_u3316_o;
- wire _al_u3317_o;
- wire _al_u3318_o;
- wire _al_u3319_o;
- wire _al_u3320_o;
- wire _al_u3321_o;
- wire _al_u3323_o;
- wire _al_u3325_o;
- wire _al_u3326_o;
- wire _al_u3328_o;
- wire _al_u3330_o;
- wire _al_u3331_o;
- wire _al_u3332_o;
- wire _al_u3333_o;
- wire _al_u3334_o;
- wire _al_u3335_o;
- wire _al_u3336_o;
- wire _al_u3337_o;
- wire _al_u3338_o;
- wire _al_u3339_o;
- wire _al_u3340_o;
- wire _al_u3341_o;
- wire _al_u3342_o;
- wire _al_u3343_o;
- wire _al_u3345_o;
- wire _al_u3347_o;
- wire _al_u3348_o;
- wire _al_u3350_o;
- wire _al_u3352_o;
- wire _al_u3353_o;
- wire _al_u3354_o;
- wire _al_u3355_o;
- wire _al_u3356_o;
- wire _al_u3357_o;
- wire _al_u3358_o;
- wire _al_u3359_o;
- wire _al_u3360_o;
- wire _al_u3361_o;
- wire _al_u3362_o;
- wire _al_u3363_o;
- wire _al_u3364_o;
- wire _al_u3365_o;
- wire _al_u3366_o;
- wire _al_u3367_o;
- wire _al_u3368_o;
- wire _al_u3369_o;
- wire _al_u3370_o;
- wire _al_u3371_o;
- wire _al_u3372_o;
- wire _al_u3373_o;
- wire _al_u3374_o;
- wire _al_u3375_o;
- wire _al_u3376_o;
- wire _al_u3377_o;
- wire _al_u3378_o;
- wire _al_u3379_o;
- wire _al_u3380_o;
- wire _al_u3381_o;
- wire _al_u3382_o;
- wire _al_u3383_o;
- wire _al_u3384_o;
- wire _al_u3385_o;
- wire _al_u3386_o;
- wire _al_u3387_o;
- wire _al_u3388_o;
- wire _al_u3389_o;
- wire _al_u3390_o;
- wire _al_u3391_o;
- wire _al_u3392_o;
- wire _al_u3393_o;
- wire _al_u3394_o;
- wire _al_u3395_o;
- wire _al_u3396_o;
- wire _al_u3397_o;
- wire _al_u3398_o;
- wire _al_u3399_o;
- wire _al_u3400_o;
- wire _al_u3401_o;
- wire _al_u3402_o;
- wire _al_u3403_o;
- wire _al_u3404_o;
- wire _al_u3405_o;
- wire _al_u3406_o;
- wire _al_u3407_o;
- wire _al_u3408_o;
- wire _al_u3409_o;
- wire _al_u3410_o;
- wire _al_u3411_o;
- wire _al_u3412_o;
- wire _al_u3413_o;
- wire _al_u3414_o;
- wire _al_u3415_o;
- wire _al_u3416_o;
- wire _al_u3417_o;
- wire _al_u3418_o;
- wire _al_u3420_o;
- wire _al_u3434_o;
- wire _al_u3437_o;
- wire _al_u3438_o;
- wire _al_u3440_o;
- wire _al_u3441_o;
- wire _al_u3443_o;
- wire _al_u3444_o;
- wire _al_u3446_o;
- wire _al_u3448_o;
- wire _al_u3450_o;
- wire _al_u3452_o;
- wire _al_u3454_o;
- wire _al_u3459_o;
- wire _al_u3464_o;
- wire _al_u3469_o;
- wire _al_u3474_o;
- wire _al_u3479_o;
- wire _al_u3484_o;
- wire _al_u3716_o;
- wire _al_u3750_o;
- wire _al_u553_o;
- wire _al_u576_o;
- wire _al_u578_o;
- wire _al_u620_o;
- wire _al_u621_o;
- wire _al_u623_o;
- wire _al_u624_o;
- wire _al_u626_o;
- wire _al_u627_o;
- wire _al_u628_o;
- wire _al_u630_o;
- wire _al_u635_o;
- wire _al_u636_o;
- wire _al_u637_o;
- wire _al_u640_o;
- wire _al_u643_o;
- wire _al_u644_o;
- wire _al_u645_o;
- wire _al_u647_o;
- wire _al_u649_o;
- wire _al_u651_o;
- wire _al_u652_o;
- wire _al_u653_o;
- wire _al_u654_o;
- wire _al_u657_o;
- wire _al_u658_o;
- wire _al_u659_o;
- wire _al_u660_o;
- wire _al_u661_o;
- wire _al_u662_o;
- wire _al_u663_o;
- wire _al_u664_o;
- wire _al_u665_o;
- wire _al_u666_o;
- wire _al_u667_o;
- wire _al_u668_o;
- wire _al_u669_o;
- wire _al_u670_o;
- wire _al_u671_o;
- wire _al_u672_o;
- wire _al_u673_o;
- wire _al_u674_o;
- wire _al_u675_o;
- wire _al_u676_o;
- wire _al_u677_o;
- wire _al_u678_o;
- wire _al_u679_o;
- wire _al_u680_o;
- wire _al_u681_o;
- wire _al_u683_o;
- wire _al_u686_o;
- wire _al_u688_o;
- wire _al_u691_o;
- wire _al_u692_o;
- wire _al_u693_o;
- wire _al_u694_o;
- wire _al_u695_o;
- wire _al_u696_o;
- wire _al_u697_o;
- wire _al_u698_o;
- wire _al_u699_o;
- wire _al_u700_o;
- wire _al_u701_o;
- wire _al_u702_o;
- wire _al_u703_o;
- wire _al_u704_o;
- wire _al_u705_o;
- wire _al_u706_o;
- wire _al_u707_o;
- wire _al_u708_o;
- wire _al_u709_o;
- wire _al_u710_o;
- wire _al_u711_o;
- wire _al_u712_o;
- wire _al_u713_o;
- wire _al_u714_o;
- wire _al_u715_o;
- wire _al_u716_o;
- wire _al_u717_o;
- wire _al_u718_o;
- wire _al_u719_o;
- wire _al_u720_o;
- wire _al_u721_o;
- wire _al_u722_o;
- wire _al_u723_o;
- wire _al_u725_o;
- wire _al_u726_o;
- wire _al_u727_o;
- wire _al_u728_o;
- wire _al_u729_o;
- wire _al_u730_o;
- wire _al_u732_o;
- wire _al_u733_o;
- wire _al_u735_o;
- wire _al_u736_o;
- wire _al_u737_o;
- wire _al_u738_o;
- wire _al_u740_o;
- wire _al_u742_o;
- wire _al_u743_o;
- wire _al_u744_o;
- wire _al_u745_o;
- wire _al_u746_o;
- wire _al_u747_o;
- wire _al_u748_o;
- wire _al_u749_o;
- wire _al_u750_o;
- wire _al_u751_o;
- wire _al_u752_o;
- wire _al_u753_o;
- wire _al_u754_o;
- wire _al_u756_o;
- wire _al_u758_o;
- wire _al_u759_o;
- wire _al_u761_o;
- wire _al_u763_o;
- wire _al_u764_o;
- wire _al_u765_o;
- wire _al_u766_o;
- wire _al_u767_o;
- wire _al_u768_o;
- wire _al_u769_o;
- wire _al_u770_o;
- wire _al_u771_o;
- wire _al_u772_o;
- wire _al_u773_o;
- wire _al_u774_o;
- wire _al_u775_o;
- wire _al_u777_o;
- wire _al_u779_o;
- wire _al_u780_o;
- wire _al_u782_o;
- wire _al_u784_o;
- wire _al_u785_o;
- wire _al_u786_o;
- wire _al_u787_o;
- wire _al_u788_o;
- wire _al_u789_o;
- wire _al_u790_o;
- wire _al_u791_o;
- wire _al_u792_o;
- wire _al_u793_o;
- wire _al_u794_o;
- wire _al_u795_o;
- wire _al_u796_o;
- wire _al_u797_o;
- wire _al_u798_o;
- wire _al_u799_o;
- wire _al_u800_o;
- wire _al_u801_o;
- wire _al_u802_o;
- wire _al_u803_o;
- wire _al_u804_o;
- wire _al_u805_o;
- wire _al_u806_o;
- wire _al_u807_o;
- wire _al_u808_o;
- wire _al_u809_o;
- wire _al_u810_o;
- wire _al_u811_o;
- wire _al_u812_o;
- wire _al_u813_o;
- wire _al_u814_o;
- wire _al_u815_o;
- wire _al_u816_o;
- wire _al_u817_o;
- wire _al_u819_o;
- wire _al_u821_o;
- wire _al_u822_o;
- wire _al_u824_o;
- wire _al_u826_o;
- wire _al_u827_o;
- wire _al_u828_o;
- wire _al_u829_o;
- wire _al_u830_o;
- wire _al_u831_o;
- wire _al_u833_o;
- wire _al_u835_o;
- wire _al_u836_o;
- wire _al_u838_o;
- wire _al_u840_o;
- wire _al_u841_o;
- wire _al_u843_o;
- wire _al_u845_o;
- wire _al_u846_o;
- wire _al_u848_o;
- wire _al_u850_o;
- wire _al_u851_o;
- wire _al_u852_o;
- wire _al_u853_o;
- wire _al_u854_o;
- wire _al_u855_o;
- wire _al_u856_o;
- wire _al_u857_o;
- wire _al_u858_o;
- wire _al_u860_o;
- wire _al_u861_o;
- wire _al_u862_o;
- wire _al_u863_o;
- wire _al_u864_o;
- wire _al_u865_o;
- wire _al_u866_o;
- wire _al_u867_o;
- wire _al_u868_o;
- wire _al_u870_o;
- wire _al_u871_o;
- wire _al_u872_o;
- wire _al_u873_o;
- wire _al_u874_o;
- wire _al_u875_o;
- wire _al_u876_o;
- wire _al_u877_o;
- wire _al_u878_o;
- wire _al_u879_o;
- wire _al_u880_o;
- wire _al_u881_o;
- wire _al_u882_o;
- wire _al_u883_o;
- wire _al_u884_o;
- wire _al_u885_o;
- wire _al_u886_o;
- wire _al_u887_o;
- wire _al_u888_o;
- wire _al_u889_o;
- wire _al_u890_o;
- wire _al_u891_o;
- wire _al_u892_o;
- wire _al_u893_o;
- wire _al_u894_o;
- wire _al_u895_o;
- wire _al_u896_o;
- wire _al_u897_o;
- wire _al_u898_o;
- wire _al_u899_o;
- wire _al_u900_o;
- wire _al_u901_o;
- wire _al_u902_o;
- wire _al_u903_o;
- wire _al_u904_o;
- wire _al_u905_o;
- wire _al_u906_o;
- wire _al_u907_o;
- wire _al_u908_o;
- wire _al_u909_o;
- wire _al_u910_o;
- wire _al_u911_o;
- wire _al_u912_o;
- wire _al_u913_o;
- wire _al_u914_o;
- wire _al_u915_o;
- wire _al_u916_o;
- wire _al_u917_o;
- wire _al_u919_o;
- wire _al_u921_o;
- wire _al_u923_o;
- wire _al_u925_o;
- wire _al_u926_o;
- wire _al_u927_o;
- wire _al_u929_o;
- wire _al_u930_o;
- wire _al_u931_o;
- wire _al_u932_o;
- wire _al_u934_o;
- wire _al_u936_o;
- wire _al_u937_o;
- wire _al_u938_o;
- wire _al_u939_o;
- wire _al_u940_o;
- wire _al_u942_o;
- wire _al_u943_o;
- wire _al_u944_o;
- wire _al_u945_o;
- wire _al_u946_o;
- wire _al_u947_o;
- wire _al_u948_o;
- wire _al_u950_o;
- wire _al_u952_o;
- wire _al_u953_o;
- wire _al_u955_o;
- wire _al_u956_o;
- wire _al_u958_o;
- wire _al_u959_o;
- wire _al_u960_o;
- wire _al_u962_o;
- wire _al_u963_o;
- wire _al_u964_o;
- wire _al_u966_o;
- wire _al_u968_o;
- wire _al_u969_o;
- wire _al_u970_o;
- wire _al_u972_o;
- wire _al_u974_o;
- wire _al_u976_o;
- wire _al_u977_o;
- wire _al_u979_o;
- wire _al_u981_o;
- wire _al_u983_o;
- wire _al_u985_o;
- wire _al_u987_o;
- wire _al_u988_o;
- wire _al_u990_o;
- wire _al_u991_o;
- wire _al_u992_o;
- wire _al_u993_o;
- wire _al_u994_o;
- wire _al_u995_o;
- wire _al_u996_o;
- wire _al_u997_o;
- wire _al_u998_o;
- wire _al_u999_o;
- wire adc_Power_down; // ../rtl/demodulation/FM_HW.v(22)
- wire and_n4_n1_o;
- wire clk_PWM1; // ../rtl/demodulation/FM_HW.v(74)
- wire clk_fm_demo_sampling; // ../rtl/demodulation/FM_HW.v(158)
- wire mux3_b2_sel_is_0_o;
- wire n22;
- wire \u1/c11 ; // ../rtl/demodulation/FM_RSSI.v(53)
- wire \u1/c15 ; // ../rtl/demodulation/FM_RSSI.v(53)
- wire \u1/c3 ; // ../rtl/demodulation/FM_RSSI.v(53)
- wire \u1/c7 ; // ../rtl/demodulation/FM_RSSI.v(53)
- wire \u2/c11 ; // ../rtl/demodulation/FM_RSSI.v(53)
- wire \u2/c15 ; // ../rtl/demodulation/FM_RSSI.v(53)
- wire \u2/c19 ; // ../rtl/demodulation/FM_RSSI.v(53)
- wire \u2/c23 ; // ../rtl/demodulation/FM_RSSI.v(53)
- wire \u2/c3 ; // ../rtl/demodulation/FM_RSSI.v(53)
- wire \u2/c7 ; // ../rtl/demodulation/FM_RSSI.v(53)
-
- assign Demo_Dump_Done_Interrupt = 1'b0;
- assign FM_HW_state[0] = 1'b0;
- assign IQ_Write_Done_interrupt = \FM_Dump_Data_IQ/Dump_done ;
- assign LED_Out[7] = LED_Out[6];
- assign LED_Out[5] = LED_Out[6];
- assign LED_Out[4] = LED_Out[6];
- assign LED_Out[2] = LED_Out[3];
- assign LED_Out[1] = LED_Out[3];
- assign LED_Out[0] = LED_Out[3];
- assign audio_pwm = LED_Out[6];
- assign rdata[31] = 1'b0;
- assign rdata[30] = 1'b0;
- assign rdata[29] = 1'b0;
- assign rdata[28] = 1'b0;
- assign rdata[27] = 1'b0;
- assign rdata[26] = 1'b0;
- assign rdata[25] = 1'b0;
- assign rdata[24] = 1'b0;
- assign rdata[23] = 1'b0;
- assign rdata[22] = 1'b0;
- assign rdata[21] = 1'b0;
- assign rdata[20] = 1'b0;
- assign rdata[19] = 1'b0;
- assign rdata[18] = 1'b0;
- assign rdata[17] = 1'b0;
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \Audio_PWM/N_1_reg (
- .ce(RSTn),
- .clk(clk_PWM1),
- .d(\Audio_PWM/N ),
- .q(\Audio_PWM/N_1 )); // ../rtl/peripherals/Audio_PWM.v(21)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \Audio_PWM/N_reg (
- .ce(RSTn),
- .clk(clk_PWM1),
- .d(clk_fm_demo_sampling),
- .q(\Audio_PWM/N )); // ../rtl/peripherals/Audio_PWM.v(21)
- EG_PHY_LSLICE #(
- //.MACRO("Audio_PWM/add0/ucin_al_u4004"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \Audio_PWM/add0/u11_al_u4007 (
- .a({open_n2,\Audio_PWM/cnt [11]}),
- .c(2'b00),
- .d({open_n7,1'b0}),
- .fci(\Audio_PWM/add0/c11 ),
- .f({open_n24,\Audio_PWM/n2 [11]}));
- EG_PHY_LSLICE #(
- //.MACRO("Audio_PWM/add0/ucin_al_u4004"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \Audio_PWM/add0/u3_al_u4005 (
- .a({\Audio_PWM/cnt [5],\Audio_PWM/cnt [3]}),
- .b({\Audio_PWM/cnt [6],\Audio_PWM/cnt [4]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\Audio_PWM/add0/c3 ),
- .f({\Audio_PWM/n2 [5],\Audio_PWM/n2 [3]}),
- .fco(\Audio_PWM/add0/c7 ),
- .fx({\Audio_PWM/n2 [6],\Audio_PWM/n2 [4]}));
- EG_PHY_LSLICE #(
- //.MACRO("Audio_PWM/add0/ucin_al_u4004"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \Audio_PWM/add0/u7_al_u4006 (
- .a({\Audio_PWM/cnt [9],\Audio_PWM/cnt [7]}),
- .b({\Audio_PWM/cnt [10],\Audio_PWM/cnt [8]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\Audio_PWM/add0/c7 ),
- .f({\Audio_PWM/n2 [9],\Audio_PWM/n2 [7]}),
- .fco(\Audio_PWM/add0/c11 ),
- .fx({\Audio_PWM/n2 [10],\Audio_PWM/n2 [8]}));
- EG_PHY_LSLICE #(
- //.MACRO("Audio_PWM/add0/ucin_al_u4004"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \Audio_PWM/add0/ucin_al_u4004 (
- .a({\Audio_PWM/cnt [1],1'b0}),
- .b({\Audio_PWM/cnt [2],\Audio_PWM/cnt [0]}),
- .c(2'b00),
- .d(2'b01),
- .e(2'b01),
- .f({\Audio_PWM/n2 [1],open_n83}),
- .fco(\Audio_PWM/add0/c3 ),
- .fx({\Audio_PWM/n2 [2],\Audio_PWM/n2 [0]}));
- EG_PHY_MSLICE #(
- //.MACRO("Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("A_LE_B"),
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"),
- .REG1_REGSET("RESET"),
- .REG1_SD("F"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Audio_PWM/audio_pwm_reg_reg|Audio_PWM/lt1_11 (
- .a(2'b00),
- .b({1'b1,\Audio_PWM/cnt [11]}),
- .clk(clk_PWM1),
- .fci(\Audio_PWM/lt1_c11 ),
- .sr(RSTn),
- .q({\Audio_PWM/audio_pwm_reg ,open_n107}));
- EG_PHY_MSLICE #(
- //.MACRO("Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("A_LE_B_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \Audio_PWM/lt1_0|Audio_PWM/lt1_cin (
- .a({demodulated_signal_downsample[0],1'b1}),
- .b({\Audio_PWM/cnt [0],open_n108}),
- .fco(\Audio_PWM/lt1_c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \Audio_PWM/lt1_10|Audio_PWM/lt1_9 (
- .a({1'b0,demodulated_signal_downsample[9]}),
- .b(\Audio_PWM/cnt [10:9]),
- .fci(\Audio_PWM/lt1_c9 ),
- .fco(\Audio_PWM/lt1_c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \Audio_PWM/lt1_2|Audio_PWM/lt1_1 (
- .a(demodulated_signal_downsample[2:1]),
- .b(\Audio_PWM/cnt [2:1]),
- .fci(\Audio_PWM/lt1_c1 ),
- .fco(\Audio_PWM/lt1_c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \Audio_PWM/lt1_4|Audio_PWM/lt1_3 (
- .a(demodulated_signal_downsample[4:3]),
- .b(\Audio_PWM/cnt [4:3]),
- .fci(\Audio_PWM/lt1_c3 ),
- .fco(\Audio_PWM/lt1_c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \Audio_PWM/lt1_6|Audio_PWM/lt1_5 (
- .a(demodulated_signal_downsample[6:5]),
- .b(\Audio_PWM/cnt [6:5]),
- .fci(\Audio_PWM/lt1_c5 ),
- .fco(\Audio_PWM/lt1_c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \Audio_PWM/lt1_8|Audio_PWM/lt1_7 (
- .a(demodulated_signal_downsample[8:7]),
- .b(\Audio_PWM/cnt [8:7]),
- .fci(\Audio_PWM/lt1_c7 ),
- .fco(\Audio_PWM/lt1_c9 ));
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Audio_PWM/reg0_b0 (
- .clk(clk_PWM1),
- .d(\Audio_PWM/n3 [0]),
- .sr(RSTn),
- .q(\Audio_PWM/cnt [0])); // ../rtl/peripherals/Audio_PWM.v(21)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Audio_PWM/reg0_b1 (
- .clk(clk_PWM1),
- .d(\Audio_PWM/n3 [1]),
- .sr(RSTn),
- .q(\Audio_PWM/cnt [1])); // ../rtl/peripherals/Audio_PWM.v(21)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Audio_PWM/reg0_b10 (
- .clk(clk_PWM1),
- .d(\Audio_PWM/n3 [10]),
- .sr(RSTn),
- .q(\Audio_PWM/cnt [10])); // ../rtl/peripherals/Audio_PWM.v(21)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Audio_PWM/reg0_b11 (
- .clk(clk_PWM1),
- .d(\Audio_PWM/n3 [11]),
- .sr(RSTn),
- .q(\Audio_PWM/cnt [11])); // ../rtl/peripherals/Audio_PWM.v(21)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Audio_PWM/reg0_b2 (
- .clk(clk_PWM1),
- .d(\Audio_PWM/n3 [2]),
- .sr(RSTn),
- .q(\Audio_PWM/cnt [2])); // ../rtl/peripherals/Audio_PWM.v(21)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Audio_PWM/reg0_b3 (
- .clk(clk_PWM1),
- .d(\Audio_PWM/n3 [3]),
- .sr(RSTn),
- .q(\Audio_PWM/cnt [3])); // ../rtl/peripherals/Audio_PWM.v(21)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Audio_PWM/reg0_b4 (
- .clk(clk_PWM1),
- .d(\Audio_PWM/n3 [4]),
- .sr(RSTn),
- .q(\Audio_PWM/cnt [4])); // ../rtl/peripherals/Audio_PWM.v(21)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Audio_PWM/reg0_b5 (
- .clk(clk_PWM1),
- .d(\Audio_PWM/n3 [5]),
- .sr(RSTn),
- .q(\Audio_PWM/cnt [5])); // ../rtl/peripherals/Audio_PWM.v(21)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Audio_PWM/reg0_b6 (
- .clk(clk_PWM1),
- .d(\Audio_PWM/n3 [6]),
- .sr(RSTn),
- .q(\Audio_PWM/cnt [6])); // ../rtl/peripherals/Audio_PWM.v(21)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Audio_PWM/reg0_b7 (
- .clk(clk_PWM1),
- .d(\Audio_PWM/n3 [7]),
- .sr(RSTn),
- .q(\Audio_PWM/cnt [7])); // ../rtl/peripherals/Audio_PWM.v(21)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Audio_PWM/reg0_b8 (
- .clk(clk_PWM1),
- .d(\Audio_PWM/n3 [8]),
- .sr(RSTn),
- .q(\Audio_PWM/cnt [8])); // ../rtl/peripherals/Audio_PWM.v(21)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \Audio_PWM/reg0_b9 (
- .clk(clk_PWM1),
- .d(\Audio_PWM/n3 [9]),
- .sr(RSTn),
- .q(\Audio_PWM/cnt [9])); // ../rtl/peripherals/Audio_PWM.v(21)
- FM_Demodulation FM_Demodulation (
- .ADC_Data({ADC_Data[11:4],4'b0000}),
- .Channel({1'b1,Channel[1],1'b0}),
- .EOC(EOC),
- .FM_HW_state({FM_HW_state[3:1],1'b0}),
- .RSTn(RSTn),
- .demod_en(adc_Power_down),
- .clk_fm_demo_sampling(clk_fm_demo_sampling),
- .demodulated_signal_sample(demodulated_signal_downsample)); // ../rtl/demodulation/FM_HW.v(148)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/Dump_done_reg (
- .clk(clk),
- .d(\FM_Dump_Data_IQ/n49 ),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/Dump_done )); // ../rtl/demodulation/FM_Dump_Data.v(98)
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/add0/ucin_al_u3996"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/add0/u11_al_u3999 (
- .a({open_n267,\FM_Dump_Data_IQ/dump_data_addr [11]}),
- .b({open_n268,\FM_Dump_Data_IQ/dump_data_addr [12]}),
- .c(2'b00),
- .d({open_n271,1'b0}),
- .e({open_n272,1'b0}),
- .fci(\FM_Dump_Data_IQ/add0/c11 ),
- .f({open_n287,\FM_Dump_Data_IQ/n39 [11]}),
- .fx({open_n289,\FM_Dump_Data_IQ/n39 [12]}));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/add0/ucin_al_u3996"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/add0/u3_al_u3997 (
- .a({\FM_Dump_Data_IQ/dump_data_addr [5],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .b({\FM_Dump_Data_IQ/dump_data_addr [6],\FM_Dump_Data_IQ/dump_data_addr [4]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\FM_Dump_Data_IQ/add0/c3 ),
- .f({\FM_Dump_Data_IQ/n39 [5],\FM_Dump_Data_IQ/n39 [3]}),
- .fco(\FM_Dump_Data_IQ/add0/c7 ),
- .fx({\FM_Dump_Data_IQ/n39 [6],\FM_Dump_Data_IQ/n39 [4]}));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/add0/ucin_al_u3996"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/add0/u7_al_u3998 (
- .a({\FM_Dump_Data_IQ/dump_data_addr [9],\FM_Dump_Data_IQ/dump_data_addr [7]}),
- .b({\FM_Dump_Data_IQ/dump_data_addr [10],\FM_Dump_Data_IQ/dump_data_addr [8]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\FM_Dump_Data_IQ/add0/c7 ),
- .f({\FM_Dump_Data_IQ/n39 [9],\FM_Dump_Data_IQ/n39 [7]}),
- .fco(\FM_Dump_Data_IQ/add0/c11 ),
- .fx({\FM_Dump_Data_IQ/n39 [10],\FM_Dump_Data_IQ/n39 [8]}));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/add0/ucin_al_u3996"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/add0/ucin_al_u3996 (
- .a({\FM_Dump_Data_IQ/dump_data_addr [1],1'b0}),
- .b({\FM_Dump_Data_IQ/dump_data_addr [2],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .c(2'b00),
- .d(2'b01),
- .e(2'b01),
- .f({\FM_Dump_Data_IQ/n39 [1],open_n345}),
- .fco(\FM_Dump_Data_IQ/add0/c3 ),
- .fx({\FM_Dump_Data_IQ/n39 [2],\FM_Dump_Data_IQ/n39 [0]}));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n349,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n384,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n419,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n454,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n489,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n524,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n559,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n594,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n629,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n664,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n699,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n734,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n769,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n804,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n839,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n874,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n909,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n944,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n979,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1014,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1049,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1084,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1119,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1154,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1189,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1224,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1259,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1294,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1329,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1364,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1399,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1434,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1469,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1504,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1539,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1574,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1609,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1644,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1679,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1714,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1749,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1784,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1819,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1854,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1889,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1924,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1959,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n1994,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2029,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2064,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2099,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2134,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2169,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2204,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2239,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2274,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2309,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2344,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2379,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2414,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2449,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2484,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2519,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2554,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2589,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2624,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2659,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2694,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2729,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2764,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2799,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2834,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2869,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2904,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2939,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n2974,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3009,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3044,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3079,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3114,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3149,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3184,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3219,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3254,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3289,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3324,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3359,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3394,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3429,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3464,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3499,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3534,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3569,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3604,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3639,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3674,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3709,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3744,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3779,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3814,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3849,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3884,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3919,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3954,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n3989,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4024,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4059,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4094,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4129,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4164,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4199,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4234,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4269,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4304,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4339,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4374,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4409,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4444,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4479,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4514,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4549,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4584,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4619,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4654,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4689,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4724,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4759,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4794,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4829,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4864,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4899,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4934,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n4969,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5004,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5039,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5074,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5109,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5144,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5179,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5214,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5249,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5284,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5319,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5354,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5389,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5424,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5459,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5494,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5529,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5564,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5599,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5634,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5669,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5704,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5739,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5774,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5809,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5844,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5879,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5914,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5949,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n5984,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6019,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6054,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6089,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6124,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6159,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6194,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6229,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6264,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6299,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6334,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6369,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6404,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6439,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6474,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6509,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6544,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6579,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6614,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6649,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6684,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6719,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6754,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6789,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6824,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6859,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6894,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6929,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6964,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n6999,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7034,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7069,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7104,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7139,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7174,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7209,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7244,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7279,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7314,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7349,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7384,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7419,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7454,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7489,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7524,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7559,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7594,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7629,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7664,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7699,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7734,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7769,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7804,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7839,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7874,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7909,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7944,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n7979,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8014,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8049,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8084,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8119,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8154,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8189,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8224,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8259,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8294,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8329,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8364,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8399,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8434,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8469,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8504,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8539,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8574,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8609,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8644,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8679,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8714,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8749,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8784,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8819,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8854,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8889,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8924,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8959,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n8994,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9029,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9064,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9099,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9134,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9169,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9204,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9239,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9274,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9309,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9344,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9379,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9414,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9449,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9484,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9519,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9554,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9589,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9624,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9659,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9694,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9729,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9764,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9799,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9834,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9869,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9904,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9939,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n9974,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10009,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10044,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10079,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10114,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10149,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10184,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10219,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10254,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10289,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10324,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10359,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10394,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10429,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10464,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10499,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10534,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10569,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10604,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10639,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10674,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10709,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10744,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10779,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10814,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10849,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10884,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10919,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10954,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n10989,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11024,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11059,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11094,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11129,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11164,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11199,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11234,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11269,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11304,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11339,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11374,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11409,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11444,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11479,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11514,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11549,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11584,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11619,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11654,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11689,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11724,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11759,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11794,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11829,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11864,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11899,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11934,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n11969,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12004,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12039,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12074,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12109,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12144,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12179,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12214,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12249,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12284,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12319,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12354,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12389,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12424,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12459,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12494,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12529,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12564,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12599,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12634,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12669,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12704,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12739,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12774,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12809,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12844,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12879,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12914,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12949,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n12984,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13019,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13054,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13089,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13124,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13159,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13194,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13229,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13264,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13299,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13334,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13369,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13404,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13439,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13474,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13509,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13544,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13579,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13614,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13649,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13684,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13719,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13754,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13789,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13824,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13859,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13894,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13929,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13964,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n13999,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14034,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14069,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14104,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14139,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14174,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14209,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14244,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14279,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14314,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14349,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14384,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14419,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14454,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14489,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14524,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14559,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14594,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14629,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14664,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14699,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14734,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14769,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14804,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14839,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14874,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14909,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14944,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n14979,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15014,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15049,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15084,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15119,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15154,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15189,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15224,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15259,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15294,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15329,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15364,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15399,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15434,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15469,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15504,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15539,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15574,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15609,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15644,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15679,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15714,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15749,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15784,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15819,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15854,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15889,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15924,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15959,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n15994,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16029,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16064,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16099,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16134,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16169,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16204,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16239,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16274,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16309,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16344,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16379,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16414,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16449,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16484,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16519,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16554,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16589,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16624,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16659,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16694,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16729,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16764,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16799,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16834,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16869,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16904,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16939,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n16974,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17009,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17044,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17079,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17114,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17149,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17184,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17219,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17254,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17289,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17324,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17359,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17394,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17429,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17464,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17499,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17534,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17569,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17604,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17639,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17674,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17709,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17744,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17779,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17814,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17849,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17884,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17919,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17954,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n17989,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18024,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18059,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18094,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18129,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18164,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18199,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18234,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18269,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18304,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18339,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18374,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18409,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18444,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18479,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18514,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18549,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18584,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18619,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18654,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18689,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18724,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18759,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18794,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18829,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18864,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18899,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18934,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n18969,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19004,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19039,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19074,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19109,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19144,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19179,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19214,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19249,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19284,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19319,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19354,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19389,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19424,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19459,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19494,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19529,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19564,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19599,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19634,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19669,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19704,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19739,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19774,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19809,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19844,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19879,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19914,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19949,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n19984,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20019,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20054,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20089,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20124,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20159,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20194,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20229,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20264,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20299,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20334,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20369,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20404,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20439,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20474,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20509,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20544,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20579,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20614,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20649,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20684,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20719,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20754,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20789,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20824,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20859,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20894,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20929,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20964,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n20999,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21034,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21069,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21104,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21139,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21174,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21209,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21244,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21279,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21314,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21349,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21384,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21419,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21454,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21489,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21524,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21559,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21594,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21629,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21664,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21699,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21734,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21769,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21804,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21839,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21874,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21909,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21944,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n21979,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22014,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22049,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22084,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22119,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22154,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22189,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22224,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22259,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22294,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22329,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22364,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22399,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22434,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22469,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22504,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22539,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22574,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22609,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22644,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22679,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22714,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22749,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22784,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22819,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22854,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22889,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22924,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22959,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n22994,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23029,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23064,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23099,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23134,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23169,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23204,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23239,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23274,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23309,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23344,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23379,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23414,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23449,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23484,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23519,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23554,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23589,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23624,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23659,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23694,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23729,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23764,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23799,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23834,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23869,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23904,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23939,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n23974,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24009,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24044,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24079,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24114,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24149,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24184,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24219,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24254,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24289,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24324,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24359,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24394,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24429,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24464,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24499,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24534,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24569,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24604,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24639,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24674,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24709,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24744,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24779,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24814,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24849,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24884,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24919,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24954,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n24989,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25024,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25059,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25094,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25129,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25164,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25199,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25234,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25269,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25304,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25339,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25374,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25409,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25444,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25479,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25514,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25549,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25584,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25619,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25654,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25689,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25724,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25759,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25794,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25829,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25864,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25899,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25934,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n25969,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26004,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26039,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26074,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26109,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26144,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26179,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26214,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26249,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26284,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26319,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26354,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26389,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26424,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26459,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26494,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26529,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26564,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26599,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26634,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26669,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26704,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26739,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26774,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26809,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26844,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26879,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26914,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26949,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n26984,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27019,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27054,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27089,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27124,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27159,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27194,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27229,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27264,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27299,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27334,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27369,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27404,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27439,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27474,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27509,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27544,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27579,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27614,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27649,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27684,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27719,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27754,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27789,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27824,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27859,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27894,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27929,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27964,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n27999,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28034,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28069,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28104,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28139,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28174,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28209,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28244,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28279,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28314,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28349,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28384,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28419,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28454,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28489,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28524,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28559,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28594,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28629,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28664,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28699,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28734,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28769,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28804,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28839,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28874,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28909,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28944,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n28979,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29014,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29049,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29084,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29119,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29154,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29189,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29224,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29259,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29294,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29329,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29364,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29399,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29434,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29469,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29504,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29539,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29574,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29609,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29644,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29679,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29714,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29749,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29784,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29819,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29854,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29889,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29924,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29959,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n29994,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30029,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30064,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30099,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30134,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30169,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30204,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30239,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30274,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30309,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30344,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30379,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30414,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30449,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30484,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30519,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30554,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30589,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30624,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30659,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30694,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30729,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30764,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30799,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30834,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30869,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30904,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30939,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n30974,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31009,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31044,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31079,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31114,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31149,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31184,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31219,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31254,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31289,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31324,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31359,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31394,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31429,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31464,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31499,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31534,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31569,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31604,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31639,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31674,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31709,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31744,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31779,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31814,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31849,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31884,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31919,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31954,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n31989,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32024,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32059,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32094,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32129,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32164,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32199,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32234,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32269,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32304,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32339,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32374,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32409,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32444,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32479,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32514,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32549,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32584,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32619,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32654,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32689,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32724,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32759,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32794,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32829,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32864,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32899,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32934,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n32969,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33004,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33039,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33074,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33109,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33144,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33179,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33214,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33249,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33284,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33319,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33354,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33389,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33424,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33459,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33494,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33529,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33564,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33599,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33634,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33669,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33704,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33739,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33774,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33809,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33844,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33879,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33914,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33949,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n33984,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34019,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34054,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34089,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34124,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34159,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34194,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34229,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34264,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34299,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34334,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34369,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34404,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34439,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34474,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34509,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34544,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34579,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34614,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34649,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34684,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34719,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34754,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34789,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34824,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34859,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34894,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34929,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34964,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n34999,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35034,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35069,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35104,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35139,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35174,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35209,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35244,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35279,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35314,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35349,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35384,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35419,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35454,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35489,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35524,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35559,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35594,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35629,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35664,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35699,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35734,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35769,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35804,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35839,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35874,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35909,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35944,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n35979,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n36014,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_0 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n36049,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n36084,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_006 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_l (
- .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n36119,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_000 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_002 }));
- EG_PHY_LSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m0"),
- //.R_POSITION("X0Y0Z2"),
- .MODE("RAMW"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_l (
- .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
- .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
- .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
- .clk(EOC),
- .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
- .e({open_n36154,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_1 }),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_di ),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_we ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m0"),
- //.R_POSITION("X0Y0Z0"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m0 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_di [1:0]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_004 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m0"),
- //.R_POSITION("X0Y0Z1"),
- .MODE("DPRAM"))
- \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m1 (
- .a({rdaddr[0],rdaddr[0]}),
- .b({rdaddr[1],rdaddr[1]}),
- .c({rdaddr[2],rdaddr[2]}),
- .d({rdaddr[3],rdaddr[3]}),
- .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_di [3:2]),
- .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_mode ),
- .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_waddr ),
- .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_wclk ),
- .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_we ),
- .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_006 }));
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/dump_done_en_reg (
- .ce(\FM_Dump_Data_IQ/n38 ),
- .clk(EOC),
- .d(\FM_Dump_Data_IQ/n42 ),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_done_en )); // ../rtl/demodulation/FM_Dump_Data.v(69)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/dump_temp_reg (
- .clk(clk),
- .d(\FM_Dump_Data_IQ/n55 ),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_temp )); // ../rtl/demodulation/FM_Dump_Data.v(98)
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("A_LE_B_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin (
- .a({\FM_Dump_Data_IQ/dump_data_addr [0],1'b0}),
- .b({1'b1,open_n36189}),
- .fco(\FM_Dump_Data_IQ/lt0_c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt0_10|FM_Dump_Data_IQ/lt0_9 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [10:9]),
- .b(2'b11),
- .fci(\FM_Dump_Data_IQ/lt0_c9 ),
- .fco(\FM_Dump_Data_IQ/lt0_c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt0_12|FM_Dump_Data_IQ/lt0_11 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [12:11]),
- .b(2'b11),
- .fci(\FM_Dump_Data_IQ/lt0_c11 ),
- .fco(\FM_Dump_Data_IQ/lt0_c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt0_2|FM_Dump_Data_IQ/lt0_1 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [2:1]),
- .b(2'b11),
- .fci(\FM_Dump_Data_IQ/lt0_c1 ),
- .fco(\FM_Dump_Data_IQ/lt0_c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt0_4|FM_Dump_Data_IQ/lt0_3 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [4:3]),
- .b(2'b11),
- .fci(\FM_Dump_Data_IQ/lt0_c3 ),
- .fco(\FM_Dump_Data_IQ/lt0_c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt0_6|FM_Dump_Data_IQ/lt0_5 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [6:5]),
- .b(2'b11),
- .fci(\FM_Dump_Data_IQ/lt0_c5 ),
- .fco(\FM_Dump_Data_IQ/lt0_c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt0_8|FM_Dump_Data_IQ/lt0_7 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [8:7]),
- .b(2'b11),
- .fci(\FM_Dump_Data_IQ/lt0_c7 ),
- .fco(\FM_Dump_Data_IQ/lt0_c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt0_cout_al_u4008 (
- .a({open_n36359,1'b0}),
- .b({open_n36360,1'b1}),
- .fci(\FM_Dump_Data_IQ/lt0_c13 ),
- .f({open_n36379,\FM_Dump_Data_IQ/n34 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("A_LE_B_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin (
- .a(2'b01),
- .b({rdaddr[0],open_n36385}),
- .fco(\FM_Dump_Data_IQ/lt1_c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt1_10|FM_Dump_Data_IQ/lt1_9 (
- .a(2'b00),
- .b(rdaddr[10:9]),
- .fci(\FM_Dump_Data_IQ/lt1_c9 ),
- .fco(\FM_Dump_Data_IQ/lt1_c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt1_12|FM_Dump_Data_IQ/lt1_11 (
- .a(2'b00),
- .b(rdaddr[12:11]),
- .fci(\FM_Dump_Data_IQ/lt1_c11 ),
- .fco(\FM_Dump_Data_IQ/lt1_c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt1_2|FM_Dump_Data_IQ/lt1_1 (
- .a(2'b00),
- .b(rdaddr[2:1]),
- .fci(\FM_Dump_Data_IQ/lt1_c1 ),
- .fco(\FM_Dump_Data_IQ/lt1_c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt1_4|FM_Dump_Data_IQ/lt1_3 (
- .a(2'b00),
- .b(rdaddr[4:3]),
- .fci(\FM_Dump_Data_IQ/lt1_c3 ),
- .fco(\FM_Dump_Data_IQ/lt1_c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt1_6|FM_Dump_Data_IQ/lt1_5 (
- .a(2'b00),
- .b(rdaddr[6:5]),
- .fci(\FM_Dump_Data_IQ/lt1_c5 ),
- .fco(\FM_Dump_Data_IQ/lt1_c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt1_8|FM_Dump_Data_IQ/lt1_7 (
- .a(2'b10),
- .b(rdaddr[8:7]),
- .fci(\FM_Dump_Data_IQ/lt1_c7 ),
- .fco(\FM_Dump_Data_IQ/lt1_c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_Dump_Data_IQ/lt1_cout_al_u4009 (
- .a({open_n36555,1'b0}),
- .b({open_n36556,1'b1}),
- .fci(\FM_Dump_Data_IQ/lt1_c13 ),
- .f({open_n36575,\FM_Dump_Data_IQ/n58 }));
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_Dump_Data_IQ/reg0_b0 (
- .ce(\FM_Dump_Data_IQ/n61 ),
- .clk(clk),
- .d(\FM_Dump_Data_IQ/n62 [0]),
- .q(rd_DUMP[0])); // ../rtl/demodulation/FM_Dump_Data.v(160)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_Dump_Data_IQ/reg0_b1 (
- .ce(\FM_Dump_Data_IQ/n61 ),
- .clk(clk),
- .d(\FM_Dump_Data_IQ/n62 [1]),
- .q(rd_DUMP[1])); // ../rtl/demodulation/FM_Dump_Data.v(160)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_Dump_Data_IQ/reg0_b2 (
- .ce(\FM_Dump_Data_IQ/n61 ),
- .clk(clk),
- .d(\FM_Dump_Data_IQ/n62 [2]),
- .q(rd_DUMP[2])); // ../rtl/demodulation/FM_Dump_Data.v(160)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_Dump_Data_IQ/reg0_b3 (
- .ce(\FM_Dump_Data_IQ/n61 ),
- .clk(clk),
- .d(\FM_Dump_Data_IQ/n62 [3]),
- .q(rd_DUMP[3])); // ../rtl/demodulation/FM_Dump_Data.v(160)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_Dump_Data_IQ/reg0_b4 (
- .ce(\FM_Dump_Data_IQ/n61 ),
- .clk(clk),
- .d(\FM_Dump_Data_IQ/n62 [4]),
- .q(rd_DUMP[4])); // ../rtl/demodulation/FM_Dump_Data.v(160)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_Dump_Data_IQ/reg0_b5 (
- .ce(\FM_Dump_Data_IQ/n61 ),
- .clk(clk),
- .d(\FM_Dump_Data_IQ/n62 [5]),
- .q(rd_DUMP[5])); // ../rtl/demodulation/FM_Dump_Data.v(160)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_Dump_Data_IQ/reg0_b6 (
- .ce(\FM_Dump_Data_IQ/n61 ),
- .clk(clk),
- .d(\FM_Dump_Data_IQ/n62 [6]),
- .q(rd_DUMP[6])); // ../rtl/demodulation/FM_Dump_Data.v(160)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_Dump_Data_IQ/reg0_b7 (
- .ce(\FM_Dump_Data_IQ/n61 ),
- .clk(clk),
- .d(\FM_Dump_Data_IQ/n62 [7]),
- .q(rd_DUMP[7])); // ../rtl/demodulation/FM_Dump_Data.v(160)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg1_b0 (
- .ce(\FM_Dump_Data_IQ/and_n5_n3_n0_o ),
- .clk(clk),
- .d(\FM_Dump_Data_IQ/n32 [0]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/Data_dump_state [0])); // ../rtl/demodulation/FM_Dump_Data.v(37)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg1_b1 (
- .ce(\FM_Dump_Data_IQ/and_n5_n3_n0_o ),
- .clk(clk),
- .d(\FM_Dump_Data_IQ/n32 [1]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/Data_dump_state [1])); // ../rtl/demodulation/FM_Dump_Data.v(37)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg1_b2 (
- .ce(\FM_Dump_Data_IQ/and_n5_n3_n0_o ),
- .clk(clk),
- .d(\FM_Dump_Data_IQ/n32 [2]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/Data_dump_state [2])); // ../rtl/demodulation/FM_Dump_Data.v(37)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg2_b0 (
- .clk(EOC),
- .d(\FM_Dump_Data_IQ/n46 [0]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_data_addr [0])); // ../rtl/demodulation/FM_Dump_Data.v(69)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg2_b1 (
- .clk(EOC),
- .d(\FM_Dump_Data_IQ/n46 [1]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_data_addr [1])); // ../rtl/demodulation/FM_Dump_Data.v(69)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg2_b10 (
- .clk(EOC),
- .d(\FM_Dump_Data_IQ/n46 [10]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_data_addr [10])); // ../rtl/demodulation/FM_Dump_Data.v(69)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg2_b11 (
- .clk(EOC),
- .d(\FM_Dump_Data_IQ/n46 [11]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_data_addr [11])); // ../rtl/demodulation/FM_Dump_Data.v(69)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg2_b12 (
- .clk(EOC),
- .d(\FM_Dump_Data_IQ/n46 [12]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_data_addr [12])); // ../rtl/demodulation/FM_Dump_Data.v(69)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg2_b2 (
- .clk(EOC),
- .d(\FM_Dump_Data_IQ/n46 [2]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_data_addr [2])); // ../rtl/demodulation/FM_Dump_Data.v(69)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg2_b3 (
- .clk(EOC),
- .d(\FM_Dump_Data_IQ/n46 [3]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_data_addr [3])); // ../rtl/demodulation/FM_Dump_Data.v(69)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg2_b4 (
- .clk(EOC),
- .d(\FM_Dump_Data_IQ/n46 [4]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_data_addr [4])); // ../rtl/demodulation/FM_Dump_Data.v(69)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg2_b5 (
- .clk(EOC),
- .d(\FM_Dump_Data_IQ/n46 [5]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_data_addr [5])); // ../rtl/demodulation/FM_Dump_Data.v(69)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg2_b6 (
- .clk(EOC),
- .d(\FM_Dump_Data_IQ/n46 [6]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_data_addr [6])); // ../rtl/demodulation/FM_Dump_Data.v(69)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg2_b7 (
- .clk(EOC),
- .d(\FM_Dump_Data_IQ/n46 [7]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_data_addr [7])); // ../rtl/demodulation/FM_Dump_Data.v(69)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg2_b8 (
- .clk(EOC),
- .d(\FM_Dump_Data_IQ/n46 [8]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_data_addr [8])); // ../rtl/demodulation/FM_Dump_Data.v(69)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_Dump_Data_IQ/reg2_b9 (
- .clk(EOC),
- .d(\FM_Dump_Data_IQ/n46 [9]),
- .sr(RSTn),
- .q(\FM_Dump_Data_IQ/dump_data_addr [9])); // ../rtl/demodulation/FM_Dump_Data.v(69)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/EOC_Count_Demodulate_reg (
- .clk(EOC),
- .d(\FM_RSSI_SCAN/n11 ),
- .q(\FM_RSSI_SCAN/EOC_Count_Demodulate )); // ../rtl/demodulation/FM_RSSI.v(56)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/RSSI_reg_1_reg (
- .clk(clk),
- .d(\FM_RSSI_SCAN/done_signal ),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_reg_1 )); // ../rtl/demodulation/FM_RSSI.v(101)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("SYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/RSSI_reg_2_reg (
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_reg_1 ),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_reg_2 )); // ../rtl/demodulation/FM_RSSI.v(101)
- EG_PHY_LSLICE #(
- //.MACRO("FM_RSSI_SCAN/add2/ucin_al_u4000"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/add2/u11_al_u4003 (
- .a({open_n36606,\FM_RSSI_SCAN/counter [11]}),
- .b({open_n36607,\FM_RSSI_SCAN/counter [12]}),
- .c(2'b00),
- .d({open_n36610,1'b0}),
- .e({open_n36611,1'b0}),
- .fci(\FM_RSSI_SCAN/add2/c11 ),
- .f({open_n36626,\FM_RSSI_SCAN/n16 [11]}),
- .fx({open_n36628,\FM_RSSI_SCAN/n16 [12]}));
- EG_PHY_LSLICE #(
- //.MACRO("FM_RSSI_SCAN/add2/ucin_al_u4000"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/add2/u3_al_u4001 (
- .a({\FM_RSSI_SCAN/counter [5],\FM_RSSI_SCAN/counter [3]}),
- .b({\FM_RSSI_SCAN/counter [6],\FM_RSSI_SCAN/counter [4]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\FM_RSSI_SCAN/add2/c3 ),
- .f({\FM_RSSI_SCAN/n16 [5],\FM_RSSI_SCAN/n16 [3]}),
- .fco(\FM_RSSI_SCAN/add2/c7 ),
- .fx({\FM_RSSI_SCAN/n16 [6],\FM_RSSI_SCAN/n16 [4]}));
- EG_PHY_LSLICE #(
- //.MACRO("FM_RSSI_SCAN/add2/ucin_al_u4000"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/add2/u7_al_u4002 (
- .a({\FM_RSSI_SCAN/counter [9],\FM_RSSI_SCAN/counter [7]}),
- .b({\FM_RSSI_SCAN/counter [10],\FM_RSSI_SCAN/counter [8]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\FM_RSSI_SCAN/add2/c7 ),
- .f({\FM_RSSI_SCAN/n16 [9],\FM_RSSI_SCAN/n16 [7]}),
- .fco(\FM_RSSI_SCAN/add2/c11 ),
- .fx({\FM_RSSI_SCAN/n16 [10],\FM_RSSI_SCAN/n16 [8]}));
- EG_PHY_LSLICE #(
- //.MACRO("FM_RSSI_SCAN/add2/ucin_al_u4000"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/add2/ucin_al_u4000 (
- .a({\FM_RSSI_SCAN/counter [1],1'b0}),
- .b({\FM_RSSI_SCAN/counter [2],\FM_RSSI_SCAN/counter [0]}),
- .c(2'b00),
- .d(2'b01),
- .e(2'b01),
- .f({\FM_RSSI_SCAN/n16 [1],open_n36684}),
- .fco(\FM_RSSI_SCAN/add2/c3 ),
- .fx({\FM_RSSI_SCAN/n16 [2],\FM_RSSI_SCAN/n16 [0]}));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("A_LE_B_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin (
- .a({\FM_RSSI_SCAN/counter [0],1'b0}),
- .b({1'b1,open_n36687}),
- .fco(\FM_RSSI_SCAN/lt0_c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/lt0_10|FM_RSSI_SCAN/lt0_9 (
- .a(\FM_RSSI_SCAN/counter [10:9]),
- .b(2'b00),
- .fci(\FM_RSSI_SCAN/lt0_c9 ),
- .fco(\FM_RSSI_SCAN/lt0_c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/lt0_12|FM_RSSI_SCAN/lt0_11 (
- .a(\FM_RSSI_SCAN/counter [12:11]),
- .b(2'b10),
- .fci(\FM_RSSI_SCAN/lt0_c11 ),
- .fco(\FM_RSSI_SCAN/lt0_c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/lt0_2|FM_RSSI_SCAN/lt0_1 (
- .a(\FM_RSSI_SCAN/counter [2:1]),
- .b(2'b00),
- .fci(\FM_RSSI_SCAN/lt0_c1 ),
- .fco(\FM_RSSI_SCAN/lt0_c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/lt0_4|FM_RSSI_SCAN/lt0_3 (
- .a(\FM_RSSI_SCAN/counter [4:3]),
- .b(2'b00),
- .fci(\FM_RSSI_SCAN/lt0_c3 ),
- .fco(\FM_RSSI_SCAN/lt0_c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/lt0_6|FM_RSSI_SCAN/lt0_5 (
- .a(\FM_RSSI_SCAN/counter [6:5]),
- .b(2'b00),
- .fci(\FM_RSSI_SCAN/lt0_c5 ),
- .fco(\FM_RSSI_SCAN/lt0_c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/lt0_8|FM_RSSI_SCAN/lt0_7 (
- .a(\FM_RSSI_SCAN/counter [8:7]),
- .b(2'b00),
- .fci(\FM_RSSI_SCAN/lt0_c7 ),
- .fco(\FM_RSSI_SCAN/lt0_c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/lt0_cout_al_u4010 (
- .a({open_n36857,1'b0}),
- .b({open_n36858,1'b1}),
- .fci(\FM_RSSI_SCAN/lt0_c13 ),
- .f({open_n36877,\FM_RSSI_SCAN/n14 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("SUB_CARRY"),
- .INIT_LUT0(16'b0000000000000101),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin (
- .a(2'b00),
- .b({\FM_RSSI_SCAN/IdataN [0],open_n36883}),
- .f({\FM_RSSI_SCAN/multlII/n1 [0],open_n36903}),
- .fco(\FM_RSSI_SCAN/multlII/add0/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/multlII/add0/u2|FM_RSSI_SCAN/multlII/add0/u1 (
- .a(2'b00),
- .b(\FM_RSSI_SCAN/IdataN [2:1]),
- .fci(\FM_RSSI_SCAN/multlII/add0/c1 ),
- .f(\FM_RSSI_SCAN/multlII/n1 [2:1]),
- .fco(\FM_RSSI_SCAN/multlII/add0/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/multlII/add0/u4|FM_RSSI_SCAN/multlII/add0/u3 (
- .a(2'b00),
- .b(\FM_RSSI_SCAN/IdataN [4:3]),
- .fci(\FM_RSSI_SCAN/multlII/add0/c3 ),
- .f(\FM_RSSI_SCAN/multlII/n1 [4:3]),
- .fco(\FM_RSSI_SCAN/multlII/add0/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/multlII/add0/u6|FM_RSSI_SCAN/multlII/add0/u5 (
- .a(2'b00),
- .b(\FM_RSSI_SCAN/IdataN [6:5]),
- .fci(\FM_RSSI_SCAN/multlII/add0/c5 ),
- .f(\FM_RSSI_SCAN/multlII/n1 [6:5]),
- .fco(\FM_RSSI_SCAN/multlII/add0/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/multlII/add0/u7_al_u4011 (
- .a({open_n36974,1'b0}),
- .b({open_n36975,\FM_RSSI_SCAN/IdataN [7]}),
- .fci(\FM_RSSI_SCAN/multlII/add0/c7 ),
- .f({open_n36994,\FM_RSSI_SCAN/multlII/n1 [7]}));
- EG_PHY_MULT18 #(
- .INPUTREGA("DISABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT9X9C"),
- .OUTPUTREG("DISABLE"),
- .SIGNEDAMUX("1"),
- .SIGNEDBMUX("1"))
- \FM_RSSI_SCAN/multlII/mult0_FM_RSSI_SCAN/multlQQ/mult0_ (
- .a({1'b0,\FM_RSSI_SCAN/multlQQ/n2 ,1'b0,\FM_RSSI_SCAN/multlII/n2 }),
- .b({1'b0,\FM_RSSI_SCAN/multlQQ/n2 ,1'b0,\FM_RSSI_SCAN/multlII/n2 }),
- .p({open_n37083,open_n37084,\FM_RSSI_SCAN/multlQQ/n6 ,open_n37085,open_n37086,\FM_RSSI_SCAN/multlII/n6 }));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("SUB_CARRY"),
- .INIT_LUT0(16'b0000000000000101),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin (
- .a(2'b00),
- .b({\FM_RSSI_SCAN/QdataN [0],open_n37087}),
- .f({\FM_RSSI_SCAN/multlQQ/n1 [0],open_n37107}),
- .fco(\FM_RSSI_SCAN/multlQQ/add0/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/multlQQ/add0/u2|FM_RSSI_SCAN/multlQQ/add0/u1 (
- .a(2'b00),
- .b(\FM_RSSI_SCAN/QdataN [2:1]),
- .fci(\FM_RSSI_SCAN/multlQQ/add0/c1 ),
- .f(\FM_RSSI_SCAN/multlQQ/n1 [2:1]),
- .fco(\FM_RSSI_SCAN/multlQQ/add0/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/multlQQ/add0/u4|FM_RSSI_SCAN/multlQQ/add0/u3 (
- .a(2'b00),
- .b(\FM_RSSI_SCAN/QdataN [4:3]),
- .fci(\FM_RSSI_SCAN/multlQQ/add0/c3 ),
- .f(\FM_RSSI_SCAN/multlQQ/n1 [4:3]),
- .fco(\FM_RSSI_SCAN/multlQQ/add0/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/multlQQ/add0/u6|FM_RSSI_SCAN/multlQQ/add0/u5 (
- .a(2'b00),
- .b(\FM_RSSI_SCAN/QdataN [6:5]),
- .fci(\FM_RSSI_SCAN/multlQQ/add0/c5 ),
- .f(\FM_RSSI_SCAN/multlQQ/n1 [6:5]),
- .fco(\FM_RSSI_SCAN/multlQQ/add0/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \FM_RSSI_SCAN/multlQQ/add0/u7_al_u4012 (
- .a({open_n37178,1'b0}),
- .b({open_n37179,\FM_RSSI_SCAN/QdataN [7]}),
- .fci(\FM_RSSI_SCAN/multlQQ/add0/c7 ),
- .f({open_n37198,\FM_RSSI_SCAN/multlQQ/n1 [7]}));
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg0_b0 (
- .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
- .clk(EOC),
- .d(\FM_RSSI_SCAN/n2 [0]),
- .q(\FM_RSSI_SCAN/QdataN [0])); // ../rtl/demodulation/FM_RSSI.v(27)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg0_b1 (
- .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
- .clk(EOC),
- .d(\FM_RSSI_SCAN/n2 [1]),
- .q(\FM_RSSI_SCAN/QdataN [1])); // ../rtl/demodulation/FM_RSSI.v(27)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg0_b2 (
- .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
- .clk(EOC),
- .d(\FM_RSSI_SCAN/n2 [2]),
- .q(\FM_RSSI_SCAN/QdataN [2])); // ../rtl/demodulation/FM_RSSI.v(27)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg0_b3 (
- .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
- .clk(EOC),
- .d(\FM_RSSI_SCAN/n2 [3]),
- .q(\FM_RSSI_SCAN/QdataN [3])); // ../rtl/demodulation/FM_RSSI.v(27)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg0_b4 (
- .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
- .clk(EOC),
- .d(\FM_RSSI_SCAN/n2 [4]),
- .q(\FM_RSSI_SCAN/QdataN [4])); // ../rtl/demodulation/FM_RSSI.v(27)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg0_b5 (
- .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
- .clk(EOC),
- .d(\FM_RSSI_SCAN/n2 [5]),
- .q(\FM_RSSI_SCAN/QdataN [5])); // ../rtl/demodulation/FM_RSSI.v(27)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg0_b6 (
- .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
- .clk(EOC),
- .d(\FM_RSSI_SCAN/n2 [6]),
- .q(\FM_RSSI_SCAN/QdataN [6])); // ../rtl/demodulation/FM_RSSI.v(27)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg0_b7 (
- .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
- .clk(EOC),
- .d(\FM_RSSI_SCAN/n2 [7]),
- .q(\FM_RSSI_SCAN/QdataN [7])); // ../rtl/demodulation/FM_RSSI.v(27)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b0 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [0]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [0])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b1 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [1]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [1])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b10 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [10]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [10])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b11 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [11]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [11])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b12 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [12]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [12])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b13 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [13]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [13])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b14 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [14]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [14])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b15 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [15]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [15])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b16 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [16]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [16])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b17 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [17]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [17])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b18 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [18]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [18])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b19 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [19]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [19])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b2 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [2]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [2])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b20 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [20]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [20])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b21 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [21]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [21])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b22 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [22]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [22])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b23 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [23]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [23])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b24 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [24]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [24])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b25 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [25]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [25])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b26 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [26]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [26])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b3 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [3]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [3])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b4 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [4]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [4])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b5 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [5]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [5])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b6 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [6]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [6])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b7 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [7]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [7])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b8 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [8]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [8])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg1_b9 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n19 [9]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/RSSI_SUM [9])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg2_b0 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n20 [0]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/counter [0])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg2_b1 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n20 [1]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/counter [1])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg2_b10 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n20 [10]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/counter [10])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg2_b11 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n20 [11]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/counter [11])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg2_b12 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n20 [12]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/counter [12])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg2_b2 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n20 [2]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/counter [2])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg2_b3 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n20 [3]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/counter [3])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg2_b4 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n20 [4]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/counter [4])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg2_b5 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n20 [5]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/counter [5])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg2_b6 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n20 [6]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/counter [6])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg2_b7 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n20 [7]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/counter [7])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg2_b8 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n20 [8]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/counter [8])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \FM_RSSI_SCAN/reg2_b9 (
- .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .d(\FM_RSSI_SCAN/n20 [9]),
- .sr(RSTn),
- .q(\FM_RSSI_SCAN/counter [9])); // ../rtl/demodulation/FM_RSSI.v(84)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b0 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [10]),
- .q(rd_SCAN[0])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b1 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [11]),
- .q(rd_SCAN[1])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b10 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [20]),
- .q(rd_SCAN[10])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b11 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [21]),
- .q(rd_SCAN[11])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b12 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [22]),
- .q(rd_SCAN[12])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b13 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [23]),
- .q(rd_SCAN[13])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b14 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [24]),
- .q(rd_SCAN[14])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b15 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [25]),
- .q(rd_SCAN[15])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b16 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [26]),
- .q(rd_SCAN[16])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b2 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [12]),
- .q(rd_SCAN[2])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b3 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [13]),
- .q(rd_SCAN[3])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b4 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [14]),
- .q(rd_SCAN[4])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b5 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [15]),
- .q(rd_SCAN[5])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b6 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [16]),
- .q(rd_SCAN[6])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b7 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [17]),
- .q(rd_SCAN[7])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b8 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [18]),
- .q(rd_SCAN[8])); // ../rtl/demodulation/FM_RSSI.v(116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg3_b9 (
- .ce(\FM_RSSI_SCAN/n25 ),
- .clk(clk),
- .d(\FM_RSSI_SCAN/RSSI_SUM [19]),
- .q(rd_SCAN[9])); // ../rtl/demodulation/FM_RSSI.v(116)
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("SUB_CARRY"),
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .INIT_LUT0(16'b0000000000000101),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"),
- .REG1_REGSET("RESET"),
- .REG1_SD("F"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin (
- .a({ADC_Data[4],1'b0}),
- .b({1'b1,open_n37269}),
- .ce(\FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
- .clk(EOC),
- .f({\FM_RSSI_SCAN/n2 [0],open_n37287}),
- .fco(\FM_RSSI_SCAN/sub0/c1 ),
- .q({\FM_RSSI_SCAN/IdataN [0],open_n37290}));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("SUB"),
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"),
- .REG0_REGSET("RESET"),
- .REG0_SD("F"),
- .REG1_REGSET("RESET"),
- .REG1_SD("F"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg4_b2|FM_RSSI_SCAN/reg4_b1 (
- .a(ADC_Data[6:5]),
- .b(2'b11),
- .ce(\FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
- .clk(EOC),
- .fci(\FM_RSSI_SCAN/sub0/c1 ),
- .f(\FM_RSSI_SCAN/n2 [2:1]),
- .fco(\FM_RSSI_SCAN/sub0/c3 ),
- .q(\FM_RSSI_SCAN/IdataN [2:1]));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("SUB"),
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"),
- .REG0_REGSET("RESET"),
- .REG0_SD("F"),
- .REG1_REGSET("RESET"),
- .REG1_SD("F"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg4_b4|FM_RSSI_SCAN/reg4_b3 (
- .a(ADC_Data[8:7]),
- .b(2'b11),
- .ce(\FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
- .clk(EOC),
- .fci(\FM_RSSI_SCAN/sub0/c3 ),
- .f(\FM_RSSI_SCAN/n2 [4:3]),
- .fco(\FM_RSSI_SCAN/sub0/c5 ),
- .q(\FM_RSSI_SCAN/IdataN [4:3]));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("SUB"),
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"),
- .REG0_REGSET("RESET"),
- .REG0_SD("F"),
- .REG1_REGSET("RESET"),
- .REG1_SD("F"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg4_b6|FM_RSSI_SCAN/reg4_b5 (
- .a(ADC_Data[10:9]),
- .b(2'b11),
- .ce(\FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
- .clk(EOC),
- .fci(\FM_RSSI_SCAN/sub0/c5 ),
- .f(\FM_RSSI_SCAN/n2 [6:5]),
- .fco(\FM_RSSI_SCAN/sub0/c7 ),
- .q(\FM_RSSI_SCAN/IdataN [6:5]));
- EG_PHY_MSLICE #(
- //.MACRO("FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("SUB"),
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"),
- .REG0_REGSET("RESET"),
- .REG0_SD("F"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \FM_RSSI_SCAN/reg4_b7_al_u4013 (
- .a({open_n37345,ADC_Data[11]}),
- .b({open_n37346,1'b0}),
- .ce(\FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
- .clk(EOC),
- .fci(\FM_RSSI_SCAN/sub0/c7 ),
- .f({open_n37363,\FM_RSSI_SCAN/n2 [7]}),
- .q({open_n37367,\FM_RSSI_SCAN/IdataN [7]}));
- EG_PHY_GCLK \U1/bufg_feedback (
- .clki(\U1/clk0_buf ),
- .clko(CW_CLK)); // al_ip/PLL_Demodulation.v(45)
- EG_PHY_PLL #(
- .CLKC0_CPHASE(3),
- .CLKC0_DIV(4),
- .CLKC0_DIV2_ENABLE("DISABLE"),
- .CLKC0_ENABLE("ENABLE"),
- .CLKC0_FPHASE(0),
- .CLKC1_CPHASE(124),
- .CLKC1_DIV(125),
- .CLKC1_DIV2_ENABLE("DISABLE"),
- .CLKC1_ENABLE("ENABLE"),
- .CLKC1_FPHASE(0),
- .CLKC2_CPHASE(39),
- .CLKC2_DIV(40),
- .CLKC2_DIV2_ENABLE("DISABLE"),
- .CLKC2_ENABLE("ENABLE"),
- .CLKC2_FPHASE(0),
- .CLKC3_CPHASE(1),
- .CLKC3_DIV(1),
- .CLKC3_DIV2_ENABLE("DISABLE"),
- .CLKC3_ENABLE("DISABLE"),
- .CLKC3_FPHASE(0),
- .CLKC4_CPHASE(19),
- .CLKC4_DIV(20),
- .CLKC4_DIV2_ENABLE("DISABLE"),
- .CLKC4_ENABLE("ENABLE"),
- .CLKC4_FPHASE(0),
- .DERIVE_PLL_CLOCKS("DISABLE"),
- .DPHASE_SOURCE("DISABLE"),
- .DYNCFG("DISABLE"),
- .FBCLK_DIV(4),
- .FEEDBK_MODE("NORMAL"),
- .FEEDBK_PATH("CLKC0_EXT"),
- .FIN("50.000"),
- .FREQ_LOCK_ACCURACY(2),
- .GEN_BASIC_CLOCK("DISABLE"),
- .GMC_GAIN(4),
- .GMC_TEST(14),
- .ICP_CURRENT(13),
- .IF_ESCLKSTSW("DISABLE"),
- .INTFB_WAKE("DISABLE"),
- .KVCO(4),
- .LPF_CAPACITOR(1),
- .LPF_RESISTOR(4),
- .NORESET("DISABLE"),
- .ODIV_MUXC0("DIV"),
- .ODIV_MUXC1("DIV"),
- .ODIV_MUXC2("DIV"),
- .ODIV_MUXC3("DIV"),
- .ODIV_MUXC4("DIV"),
- .PLLC2RST_ENA("DISABLE"),
- .PLLC34RST_ENA("DISABLE"),
- .PLLMRST_ENA("DISABLE"),
- .PLLRST_ENA("ENABLE"),
- .PLL_LOCK_MODE(0),
- .PREDIV_MUXC0("VCO"),
- .PREDIV_MUXC1("VCO"),
- .PREDIV_MUXC2("VCO"),
- .PREDIV_MUXC3("VCO"),
- .PREDIV_MUXC4("VCO"),
- .REFCLK_DIV(1),
- .REFCLK_SEL("INTERNAL"),
- .STDBY_ENABLE("ENABLE"),
- .STDBY_VCO_ENA("DISABLE"),
- .SYNC_ENABLE("DISABLE"),
- .VCO_NORESET("DISABLE"))
- \U1/pll_inst (
- .daddr(6'b000000),
- .dclk(1'b0),
- .dcs(1'b0),
- .di(8'b00000000),
- .dwe(1'b0),
- .fbclk(CW_CLK),
- .load_reg(1'b0),
- .psclk(1'b0),
- .psclksel(3'b000),
- .psdown(1'b0),
- .psstep(1'b0),
- .refclk(clk),
- .reset(1'b0),
- .stdby(1'b0),
- .clkc({open_n37368,open_n37369,clk_PWM1,ADC_CLK,\U1/clk0_buf })); // al_ip/PLL_Demodulation.v(80)
- EG_PHY_ADC #(
- .CH0("DISABLE"),
- .CH1("DISABLE"),
- .CH2("DISABLE"),
- .CH3("DISABLE"),
- .CH4("ENABLE"),
- .CH5("DISABLE"),
- .CH6("ENABLE"),
- .CH7("DISABLE"),
- .VREF("DISABLE"))
- \U2/adc (
- .clk(ADC_CLK),
- .pd(adc_Power_down),
- .s({1'b1,Channel[1],1'b0}),
- .soc(1'b1),
- .dout({\ADC_Data[11]_keep ,\ADC_Data[10]_keep ,\ADC_Data[9]_keep ,\ADC_Data[8]_keep ,\ADC_Data[7]_keep ,\ADC_Data[6]_keep ,\ADC_Data[5]_keep ,\ADC_Data[4]_keep ,\ADC_Data[3]_keep ,\ADC_Data[2]_keep ,\ADC_Data[1]_keep ,\ADC_Data[0]_keep }),
- .eoc(EOC)); // al_ip/ADC_Sampling.v(26)
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~B*A*~(D*~C)))"),
- .INIT(32'h0000dfdd))
- _al_u1000 (
- .a(_al_u995_o),
- .b(_al_u997_o),
- .c(_al_u999_o),
- .d(_al_u671_o),
- .e(rdaddr[8]),
- .o(_al_u1000_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(~A*~((~C*~B))*~(E)+~A*(~C*~B)*~(E)+~(~A)*(~C*~B)*E+~A*(~C*~B)*E))"),
- .INIT(32'hfc00aa00))
- _al_u1001 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_10 ),
- .b(_al_u990_o),
- .c(_al_u1000_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u1001_o));
- AL_MAP_LUT5 #(
- .EQN("~(~(~(~E*D)*B)*~(~C*A))"),
- .INIT(32'hcece0ace))
- _al_u1002 (
- .a(_al_u744_o),
- .b(_al_u828_o),
- .c(_al_u916_o),
- .d(_al_u959_o),
- .e(_al_u1001_o),
- .o(\FM_Dump_Data_IQ/n62 [3]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1003 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_002 ),
- .d(rdaddr[5]),
- .o(_al_u1003_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1004 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_002 ),
- .c(_al_u1003_o),
- .d(rdaddr[5]),
- .o(_al_u1004_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1005 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_002 ),
- .d(rdaddr[5]),
- .o(_al_u1005_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1006 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_002 ),
- .c(_al_u1005_o),
- .d(rdaddr[5]),
- .o(_al_u1006_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1007 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_002 ),
- .d(rdaddr[5]),
- .o(_al_u1007_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1008 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_002 ),
- .c(_al_u1007_o),
- .d(rdaddr[5]),
- .o(_al_u1008_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1009 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_002 ),
- .d(rdaddr[5]),
- .o(_al_u1009_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1010 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_002 ),
- .c(_al_u1009_o),
- .d(rdaddr[5]),
- .o(_al_u1010_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u1011 (
- .a(_al_u1008_o),
- .b(_al_u1010_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1011_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u1012 (
- .a(_al_u1004_o),
- .b(_al_u1006_o),
- .c(_al_u1011_o),
- .d(rdaddr[6]),
- .o(_al_u1012_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1013 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_002 ),
- .d(rdaddr[5]),
- .o(_al_u1013_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1014 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_002 ),
- .c(_al_u1013_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_92 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1015 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_002 ),
- .d(rdaddr[5]),
- .o(_al_u1015_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1016 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_002 ),
- .c(_al_u1015_o),
- .d(rdaddr[5]),
- .o(_al_u1016_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
- .INIT(16'h0c05))
- _al_u1017 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_92 ),
- .b(_al_u1016_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1017_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1018 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_002 ),
- .o(_al_u1018_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h4044484c))
- _al_u1019 (
- .a(_al_u1018_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_002 ),
- .o(_al_u1019_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1020 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_002 ),
- .o(_al_u1020_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h4044484c))
- _al_u1021 (
- .a(_al_u1020_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_002 ),
- .o(_al_u1021_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~((~D*~C*~B))*~(E)+~A*(~D*~C*~B)*~(E)+~(~A)*(~D*~C*~B)*E+~A*(~D*~C*~B)*E)"),
- .INIT(32'hfffcaaaa))
- _al_u1022 (
- .a(_al_u1012_o),
- .b(_al_u1017_o),
- .c(_al_u1019_o),
- .d(_al_u1021_o),
- .e(rdaddr[9]),
- .o(_al_u1022_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1023 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_002 ),
- .o(_al_u1023_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1024 (
- .a(_al_u1023_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_002 ),
- .o(_al_u1024_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1025 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_002 ),
- .o(_al_u1025_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1026 (
- .a(_al_u1025_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_002 ),
- .o(_al_u1026_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1027 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_002 ),
- .d(rdaddr[5]),
- .o(_al_u1027_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1028 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_002 ),
- .c(_al_u1027_o),
- .d(rdaddr[5]),
- .o(_al_u1028_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*~C))"),
- .INIT(16'h1011))
- _al_u1029 (
- .a(_al_u1024_o),
- .b(_al_u1026_o),
- .c(_al_u1028_o),
- .d(_al_u674_o),
- .o(_al_u1029_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1030 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_002 ),
- .d(rdaddr[5]),
- .o(_al_u1030_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1031 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_002 ),
- .c(_al_u1030_o),
- .d(rdaddr[5]),
- .o(_al_u1031_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*A*~(C*~B))"),
- .INIT(16'h008a))
- _al_u1032 (
- .a(_al_u1029_o),
- .b(_al_u1031_o),
- .c(_al_u668_o),
- .d(rdaddr[9]),
- .o(_al_u1032_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1033 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_002 ),
- .o(_al_u1033_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1034 (
- .a(_al_u1033_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_002 ),
- .o(_al_u1034_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1035 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_002 ),
- .o(_al_u1035_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1036 (
- .a(_al_u1035_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_002 ),
- .o(_al_u1036_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1037 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_002 ),
- .d(rdaddr[5]),
- .o(_al_u1037_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1038 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_002 ),
- .c(_al_u1037_o),
- .d(rdaddr[5]),
- .o(_al_u1038_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*~C))"),
- .INIT(16'h1011))
- _al_u1039 (
- .a(_al_u1034_o),
- .b(_al_u1036_o),
- .c(_al_u1038_o),
- .d(_al_u671_o),
- .o(_al_u1039_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1040 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_002 ),
- .d(rdaddr[5]),
- .o(_al_u1040_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1041 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_002 ),
- .c(_al_u1040_o),
- .d(rdaddr[5]),
- .o(_al_u1041_o));
- AL_MAP_LUT4 #(
- .EQN("(D*A*~(C*~B))"),
- .INIT(16'h8a00))
- _al_u1042 (
- .a(_al_u1039_o),
- .b(_al_u1041_o),
- .c(_al_u674_o),
- .d(rdaddr[9]),
- .o(_al_u1042_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(~C*~B)*~(A)*~(E)+~(~C*~B)*A*~(E)+~(~(~C*~B))*A*E+~(~C*~B)*A*E))"),
- .INIT(32'h00aa00fc))
- _al_u1043 (
- .a(_al_u1022_o),
- .b(_al_u1032_o),
- .c(_al_u1042_o),
- .d(rdaddr[11]),
- .e(rdaddr[8]),
- .o(_al_u1043_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1044 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_002 ),
- .d(rdaddr[5]),
- .o(_al_u1044_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1045 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_002 ),
- .c(_al_u1044_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_118 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1046 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_002 ),
- .d(rdaddr[5]),
- .o(_al_u1046_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1047 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_002 ),
- .c(_al_u1046_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_119 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1048 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_002 ),
- .d(rdaddr[5]),
- .o(_al_u1048_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1049 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_002 ),
- .c(_al_u1048_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_116 ));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h33ff550f))
- _al_u1050 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_118 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_119 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_116 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1050_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1051 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_002 ),
- .d(rdaddr[5]),
- .o(_al_u1051_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1052 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_002 ),
- .c(_al_u1051_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_114 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1053 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_002 ),
- .d(rdaddr[5]),
- .o(_al_u1053_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1054 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_002 ),
- .c(_al_u1053_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_113 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1055 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_002 ),
- .d(rdaddr[5]),
- .o(_al_u1055_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1056 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_002 ),
- .c(_al_u1055_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_112 ));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hff33550f))
- _al_u1057 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_114 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_113 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_112 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1057_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1058 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_002 ),
- .d(rdaddr[5]),
- .o(_al_u1058_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1059 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_002 ),
- .c(_al_u1058_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_117 ));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u1060 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_117 ),
- .b(rdaddr[7]),
- .c(rdaddr[6]),
- .o(_al_u1060_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1061 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_002 ),
- .d(rdaddr[5]),
- .o(_al_u1061_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1062 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_002 ),
- .c(_al_u1061_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_115 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1063 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_115 ),
- .b(rdaddr[7]),
- .c(rdaddr[6]),
- .o(_al_u1063_o));
- AL_MAP_LUT5 #(
- .EQN("~((~D*B)*~((~C*A))*~(E)+(~D*B)*(~C*A)*~(E)+~((~D*B))*(~C*A)*E+(~D*B)*(~C*A)*E)"),
- .INIT(32'hf5f5ff33))
- _al_u1064 (
- .a(_al_u1050_o),
- .b(_al_u1057_o),
- .c(_al_u1060_o),
- .d(_al_u1063_o),
- .e(rdaddr[8]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B4_14 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1065 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_002 ),
- .d(rdaddr[5]),
- .o(_al_u1065_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1066 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_002 ),
- .c(_al_u1065_o),
- .d(rdaddr[5]),
- .o(_al_u1066_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1067 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_002 ),
- .d(rdaddr[5]),
- .o(_al_u1067_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1068 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_002 ),
- .c(_al_u1067_o),
- .d(rdaddr[5]),
- .o(_al_u1068_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0305))
- _al_u1069 (
- .a(_al_u1066_o),
- .b(_al_u1068_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1069_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1070 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_002 ),
- .o(_al_u1070_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1071 (
- .a(_al_u1070_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_002 ),
- .o(_al_u1071_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1072 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_002 ),
- .o(_al_u1072_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1073 (
- .a(_al_u1072_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_002 ),
- .o(_al_u1073_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u1074 (
- .a(_al_u1069_o),
- .b(_al_u1071_o),
- .c(_al_u1073_o),
- .d(rdaddr[8]),
- .o(_al_u1074_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1075 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_002 ),
- .d(rdaddr[5]),
- .o(_al_u1075_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1076 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_002 ),
- .c(_al_u1075_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_126 ));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u1077 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_002 ),
- .o(_al_u1077_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u1078 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_002 ),
- .o(_al_u1078_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~((~C*~B))*D*~(E)+~(A)*(~C*~B)*D*~(E)+~(A)*~((~C*~B))*~(D)*E+A*~((~C*~B))*~(D)*E+~(A)*(~C*~B)*~(D)*E+A*(~C*~B)*~(D)*E+~(A)*~((~C*~B))*D*E+A*~((~C*~B))*D*E)"),
- .INIT(32'hfcff5500))
- _al_u1079 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_126 ),
- .b(_al_u1077_o),
- .c(_al_u1078_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1079_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1080 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_002 ),
- .d(rdaddr[5]),
- .o(_al_u1080_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1081 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_002 ),
- .c(_al_u1080_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_125 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1082 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_002 ),
- .d(rdaddr[5]),
- .o(_al_u1082_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1083 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_002 ),
- .c(_al_u1082_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_124 ));
- AL_MAP_LUT5 #(
- .EQN("(D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+A*~(B)*C*~(E)+A*~(B)*~(C)*E+A*B*~(C)*E+A*~(B)*C*E+A*B*C*E))"),
- .INIT(32'haa002700))
- _al_u1084 (
- .a(_al_u1079_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_125 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_124 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1084_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'hfc005500))
- _al_u1085 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B4_14 ),
- .b(_al_u1074_o),
- .c(_al_u1084_o),
- .d(rdaddr[11]),
- .e(rdaddr[9]),
- .o(_al_u1085_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1086 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_002 ),
- .d(rdaddr[5]),
- .o(_al_u1086_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1087 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_002 ),
- .c(_al_u1086_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_70 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1088 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_002 ),
- .d(rdaddr[5]),
- .o(_al_u1088_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1089 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_002 ),
- .c(_al_u1088_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_71 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u1090 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_70 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_71 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1090_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1091 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_002 ),
- .d(rdaddr[5]),
- .o(_al_u1091_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1092 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_002 ),
- .c(_al_u1091_o),
- .d(rdaddr[5]),
- .o(_al_u1092_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1093 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_002 ),
- .d(rdaddr[5]),
- .o(_al_u1093_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1094 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_002 ),
- .c(_al_u1093_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_68 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*(~B*~(A)*~(D)+~B*A*~(D)+~(~B)*A*D+~B*A*D))"),
- .INIT(16'h0a03))
- _al_u1095 (
- .a(_al_u1092_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_68 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1095_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*~A))"),
- .INIT(8'h0e))
- _al_u1096 (
- .a(_al_u1090_o),
- .b(_al_u1095_o),
- .c(rdaddr[9]),
- .o(_al_u1096_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1097 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_002 ),
- .d(rdaddr[5]),
- .o(_al_u1097_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1098 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_002 ),
- .c(_al_u1097_o),
- .d(rdaddr[5]),
- .o(_al_u1098_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1099 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_002 ),
- .d(rdaddr[5]),
- .o(_al_u1099_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1100 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_002 ),
- .c(_al_u1099_o),
- .d(rdaddr[5]),
- .o(_al_u1100_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1101 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_002 ),
- .d(rdaddr[5]),
- .o(_al_u1101_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1102 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_002 ),
- .c(_al_u1101_o),
- .d(rdaddr[5]),
- .o(_al_u1102_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'haaffccf0))
- _al_u1103 (
- .a(_al_u1098_o),
- .b(_al_u1100_o),
- .c(_al_u1102_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1103_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1104 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_002 ),
- .d(rdaddr[5]),
- .o(_al_u1104_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1105 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_002 ),
- .c(_al_u1104_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_66 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1106 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_002 ),
- .d(rdaddr[5]),
- .o(_al_u1106_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1107 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_002 ),
- .c(_al_u1106_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_67 ));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*(A*~(B)*~(E)+A*B*~(E)+~(A)*B*E+A*B*E)))"),
- .INIT(32'h030f050f))
- _al_u1108 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_66 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_67 ),
- .c(rdaddr[9]),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1108_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1109 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_002 ),
- .d(rdaddr[5]),
- .o(_al_u1109_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1110 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_002 ),
- .c(_al_u1109_o),
- .d(rdaddr[5]),
- .o(_al_u1110_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u1111 (
- .a(_al_u1110_o),
- .b(_al_u668_o),
- .c(rdaddr[9]),
- .o(_al_u1111_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~B*(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)))"),
- .INIT(32'h888aa8aa))
- _al_u1112 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_002 ),
- .o(_al_u1112_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(~B*(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)))"),
- .INIT(32'h44455455))
- _al_u1113 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_002 ),
- .o(_al_u1113_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'ha820))
- _al_u1114 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_002 ),
- .o(_al_u1114_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'ha820))
- _al_u1115 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_002 ),
- .o(_al_u1115_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~D*B)*~(~C*A))"),
- .INIT(32'h0000f531))
- _al_u1116 (
- .a(_al_u1112_o),
- .b(_al_u1113_o),
- .c(_al_u1114_o),
- .d(_al_u1115_o),
- .e(rdaddr[7]),
- .o(_al_u1116_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~D*B)*~(C*A))"),
- .INIT(32'h00005f13))
- _al_u1117 (
- .a(_al_u1103_o),
- .b(_al_u1108_o),
- .c(_al_u1111_o),
- .d(_al_u1116_o),
- .e(rdaddr[8]),
- .o(_al_u1117_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1118 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_002 ),
- .o(_al_u1118_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1119 (
- .a(_al_u1118_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_002 ),
- .o(_al_u1119_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1120 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_002 ),
- .o(_al_u1120_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1121 (
- .a(_al_u1120_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_002 ),
- .o(_al_u1121_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1122 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_002 ),
- .o(_al_u1122_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1123 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_002 ),
- .o(_al_u1123_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(C*~(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'haf00cf00))
- _al_u1124 (
- .a(_al_u1122_o),
- .b(_al_u1123_o),
- .c(_al_u668_o),
- .d(rdaddr[9]),
- .e(rdaddr[4]),
- .o(_al_u1124_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1125 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_002 ),
- .d(rdaddr[5]),
- .o(_al_u1125_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1126 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_002 ),
- .c(_al_u1125_o),
- .d(rdaddr[5]),
- .o(_al_u1126_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~B*~A*~(E*~D))"),
- .INIT(32'h10001010))
- _al_u1127 (
- .a(_al_u1119_o),
- .b(_al_u1121_o),
- .c(_al_u1124_o),
- .d(_al_u1126_o),
- .e(_al_u671_o),
- .o(_al_u1127_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~B*~(E*~C*~A))"),
- .INIT(32'h00320033))
- _al_u1128 (
- .a(_al_u1096_o),
- .b(_al_u1117_o),
- .c(_al_u1127_o),
- .d(rdaddr[11]),
- .e(rdaddr[8]),
- .o(_al_u1128_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1129 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_002 ),
- .o(_al_u1129_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1130 (
- .a(_al_u1129_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_002 ),
- .o(_al_u1130_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1131 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_002 ),
- .d(rdaddr[5]),
- .o(_al_u1131_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1132 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_002 ),
- .c(_al_u1131_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_110 ));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*~(C*~B)))"),
- .INIT(16'h5510))
- _al_u1133 (
- .a(_al_u1130_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_110 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1133_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1134 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_002 ),
- .o(_al_u1134_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h10111213))
- _al_u1135 (
- .a(_al_u1134_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_002 ),
- .o(_al_u1135_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1136 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_002 ),
- .o(_al_u1136_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u1137 (
- .a(_al_u1136_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_002 ),
- .o(_al_u1137_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)))"),
- .INIT(32'h4e00ff00))
- _al_u1138 (
- .a(_al_u1133_o),
- .b(_al_u1135_o),
- .c(_al_u1137_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1138_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1139 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_002 ),
- .d(rdaddr[5]),
- .o(_al_u1139_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1140 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_002 ),
- .c(_al_u1139_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_96 ));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u1141 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_002 ),
- .o(_al_u1141_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u1142 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_002 ),
- .o(_al_u1142_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~((~C*~B))*~(D)*~(E)+~(A)*(~C*~B)*~(D)*~(E)+~(A)*~((~C*~B))*~(D)*E+A*~((~C*~B))*~(D)*E+~(A)*~((~C*~B))*D*E+A*~((~C*~B))*D*E+~(A)*(~C*~B)*D*E+A*(~C*~B)*D*E)"),
- .INIT(32'hfffc0055))
- _al_u1143 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_96 ),
- .b(_al_u1141_o),
- .c(_al_u1142_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1143_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1144 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_002 ),
- .o(_al_u1144_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h4044484c))
- _al_u1145 (
- .a(_al_u1144_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_002 ),
- .o(_al_u1145_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1146 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_002 ),
- .o(_al_u1146_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1147 (
- .a(_al_u1146_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_002 ),
- .o(_al_u1147_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(~E*(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)))"),
- .INIT(32'h00ff004e))
- _al_u1148 (
- .a(_al_u1143_o),
- .b(_al_u1145_o),
- .c(_al_u1147_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1148_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1149 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_002 ),
- .d(rdaddr[5]),
- .o(_al_u1149_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1150 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_002 ),
- .c(_al_u1149_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_104 ));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u1151 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_002 ),
- .o(_al_u1151_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u1152 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_002 ),
- .o(_al_u1152_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~((~C*~B))*~(D)*~(E)+~(A)*(~C*~B)*~(D)*~(E)+~(A)*~((~C*~B))*~(D)*E+A*~((~C*~B))*~(D)*E+~(A)*~((~C*~B))*D*E+A*~((~C*~B))*D*E+~(A)*(~C*~B)*D*E+A*(~C*~B)*D*E)"),
- .INIT(32'hfffc0055))
- _al_u1153 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_104 ),
- .b(_al_u1151_o),
- .c(_al_u1152_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1153_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1154 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_002 ),
- .d(rdaddr[5]),
- .o(_al_u1154_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1155 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_002 ),
- .c(_al_u1154_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_107 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1156 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_002 ),
- .d(rdaddr[5]),
- .o(_al_u1156_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1157 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_002 ),
- .c(_al_u1156_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_106 ));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*~(C)*E+~(A)*~(B)*C*E+~(A)*B*C*E+A*B*C*E))"),
- .INIT(32'h00d80055))
- _al_u1158 (
- .a(_al_u1153_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_107 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_106 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1158_o));
- AL_MAP_LUT4 #(
- .EQN("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hc840))
- _al_u1159 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_002 ),
- .o(_al_u1159_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h3210))
- _al_u1160 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_002 ),
- .o(_al_u1160_o));
- AL_MAP_LUT4 #(
- .EQN("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hc840))
- _al_u1161 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_002 ),
- .o(_al_u1161_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h3210))
- _al_u1162 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_002 ),
- .o(_al_u1162_o));
- AL_MAP_LUT5 #(
- .EQN("~((~B*~A)*~((~D*~C))*~(E)+(~B*~A)*(~D*~C)*~(E)+~((~B*~A))*(~D*~C)*E+(~B*~A)*(~D*~C)*E)"),
- .INIT(32'hfff0eeee))
- _al_u1163 (
- .a(_al_u1159_o),
- .b(_al_u1160_o),
- .c(_al_u1161_o),
- .d(_al_u1162_o),
- .e(rdaddr[6]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B2_51 ));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~C*(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)))"),
- .INIT(32'ha0a2a8aa))
- _al_u1164 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_002 ),
- .o(_al_u1164_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(C*(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)))"),
- .INIT(32'h0a2a8aaa))
- _al_u1165 (
- .a(_al_u1164_o),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_002 ),
- .o(_al_u1165_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1166 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_002 ),
- .o(_al_u1166_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1167 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_002 ),
- .o(_al_u1167_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0c0a))
- _al_u1168 (
- .a(_al_u1166_o),
- .b(_al_u1167_o),
- .c(rdaddr[6]),
- .d(rdaddr[4]),
- .o(_al_u1168_o));
- AL_MAP_LUT5 #(
- .EQN("(D*((~C*~B)*~(A)*~(E)+(~C*~B)*A*~(E)+~((~C*~B))*A*E+(~C*~B)*A*E))"),
- .INIT(32'haa000300))
- _al_u1169 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B2_51 ),
- .b(_al_u1165_o),
- .c(_al_u1168_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1169_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~(~D*B)*~(~C*A)))"),
- .INIT(32'h0ace0000))
- _al_u1170 (
- .a(_al_u1138_o),
- .b(_al_u1148_o),
- .c(_al_u1158_o),
- .d(_al_u1169_o),
- .e(rdaddr[11]),
- .o(_al_u1170_o));
- AL_MAP_LUT5 #(
- .EQN("~((~D*~C)*~((~B*~A))*~(E)+(~D*~C)*(~B*~A)*~(E)+~((~D*~C))*(~B*~A)*E+(~D*~C)*(~B*~A)*E)"),
- .INIT(32'heeeefff0))
- _al_u1171 (
- .a(_al_u1043_o),
- .b(_al_u1085_o),
- .c(_al_u1128_o),
- .d(_al_u1170_o),
- .e(rdaddr[10]),
- .o(_al_u1171_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1172 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_002 ),
- .o(_al_u1172_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u1173 (
- .a(_al_u1172_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_002 ),
- .o(_al_u1173_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u1174 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_002 ),
- .o(_al_u1174_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u1175 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_002 ),
- .o(_al_u1175_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*~C*~B))"),
- .INIT(16'h5455))
- _al_u1176 (
- .a(_al_u1173_o),
- .b(_al_u1174_o),
- .c(_al_u1175_o),
- .d(rdaddr[6]),
- .o(_al_u1176_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1177 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_002 ),
- .d(rdaddr[5]),
- .o(_al_u1177_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1178 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_002 ),
- .c(_al_u1177_o),
- .d(rdaddr[5]),
- .o(_al_u1178_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1179 (
- .a(_al_u1178_o),
- .b(rdaddr[6]),
- .o(_al_u1179_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1180 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_002 ),
- .d(rdaddr[5]),
- .o(_al_u1180_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1181 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_002 ),
- .c(_al_u1180_o),
- .d(rdaddr[5]),
- .o(_al_u1181_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1182 (
- .a(_al_u1181_o),
- .b(rdaddr[6]),
- .o(_al_u1182_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~((~C*~B)*~(A)*~(E)+(~C*~B)*A*~(E)+~((~C*~B))*A*E+(~C*~B)*A*E))"),
- .INIT(32'h5500fc00))
- _al_u1183 (
- .a(_al_u1176_o),
- .b(_al_u1179_o),
- .c(_al_u1182_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1183_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1184 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_002 ),
- .d(rdaddr[5]),
- .o(_al_u1184_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1185 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_002 ),
- .c(_al_u1184_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_0 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1186 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_002 ),
- .d(rdaddr[5]),
- .o(_al_u1186_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1187 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_002 ),
- .c(_al_u1186_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_1 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0305))
- _al_u1188 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_0 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_1 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1188_o));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'haad8))
- _al_u1189 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_002 ),
- .d(rdaddr[5]),
- .o(_al_u1189_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u1190 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_002 ),
- .c(_al_u1189_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_2 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1191 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_002 ),
- .d(rdaddr[5]),
- .o(_al_u1191_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1192 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_002 ),
- .c(_al_u1191_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_3 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u1193 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_2 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_3 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1193_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(~E*~C*~B))"),
- .INIT(32'h00550054))
- _al_u1194 (
- .a(_al_u1183_o),
- .b(_al_u1188_o),
- .c(_al_u1193_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1194_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1195 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_002 ),
- .d(rdaddr[5]),
- .o(_al_u1195_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1196 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_002 ),
- .c(_al_u1195_o),
- .d(rdaddr[5]),
- .o(_al_u1196_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1197 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_002 ),
- .d(rdaddr[5]),
- .o(_al_u1197_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1198 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_002 ),
- .c(_al_u1197_o),
- .d(rdaddr[5]),
- .o(_al_u1198_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hfcaf))
- _al_u1199 (
- .a(_al_u1196_o),
- .b(_al_u1198_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1199_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1200 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_002 ),
- .o(_al_u1200_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1201 (
- .a(_al_u1200_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_002 ),
- .o(_al_u1201_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1202 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_002 ),
- .o(_al_u1202_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1203 (
- .a(_al_u1202_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_002 ),
- .o(_al_u1203_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(~C*~B*A)))"),
- .INIT(32'h0200ff00))
- _al_u1204 (
- .a(_al_u1199_o),
- .b(_al_u1201_o),
- .c(_al_u1203_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1204_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1205 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_002 ),
- .d(rdaddr[5]),
- .o(_al_u1205_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1206 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_002 ),
- .c(_al_u1205_o),
- .d(rdaddr[5]),
- .o(_al_u1206_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1207 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_002 ),
- .d(rdaddr[5]),
- .o(_al_u1207_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1208 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_002 ),
- .c(_al_u1207_o),
- .d(rdaddr[5]),
- .o(_al_u1208_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hfcaf))
- _al_u1209 (
- .a(_al_u1206_o),
- .b(_al_u1208_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1209_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1210 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_002 ),
- .d(rdaddr[5]),
- .o(_al_u1210_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1211 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_002 ),
- .c(_al_u1210_o),
- .d(rdaddr[5]),
- .o(_al_u1211_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1212 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_002 ),
- .d(rdaddr[5]),
- .o(_al_u1212_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1213 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_002 ),
- .c(_al_u1212_o),
- .d(rdaddr[5]),
- .o(_al_u1213_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*~A))"),
- .INIT(16'h8caf))
- _al_u1214 (
- .a(_al_u1211_o),
- .b(_al_u1213_o),
- .c(_al_u674_o),
- .d(_al_u678_o),
- .o(_al_u1214_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*~(C*B)))"),
- .INIT(16'haa80))
- _al_u1215 (
- .a(_al_u1204_o),
- .b(_al_u1209_o),
- .c(_al_u1214_o),
- .d(rdaddr[8]),
- .o(_al_u1215_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1216 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_002 ),
- .o(_al_u1216_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
- .INIT(16'h4567))
- _al_u1217 (
- .a(_al_u1216_o),
- .b(rdaddr[5]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_002 ),
- .o(_al_u1217_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1218 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_002 ),
- .d(rdaddr[5]),
- .o(_al_u1218_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1219 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_002 ),
- .c(_al_u1218_o),
- .d(rdaddr[5]),
- .o(_al_u1219_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1220 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_002 ),
- .d(rdaddr[5]),
- .o(_al_u1220_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1221 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_002 ),
- .c(_al_u1220_o),
- .d(rdaddr[5]),
- .o(_al_u1221_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1222 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_002 ),
- .d(rdaddr[5]),
- .o(_al_u1222_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1223 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_002 ),
- .c(_al_u1222_o),
- .d(rdaddr[5]),
- .o(_al_u1223_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u1224 (
- .a(_al_u1221_o),
- .b(_al_u1223_o),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u1224_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u1225 (
- .a(_al_u1217_o),
- .b(_al_u1219_o),
- .c(_al_u1224_o),
- .d(rdaddr[7]),
- .o(_al_u1225_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1226 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_002 ),
- .d(rdaddr[5]),
- .o(_al_u1226_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1227 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_002 ),
- .c(_al_u1226_o),
- .d(rdaddr[5]),
- .o(_al_u1227_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1228 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_002 ),
- .d(rdaddr[5]),
- .o(_al_u1228_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1229 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_002 ),
- .c(_al_u1228_o),
- .d(rdaddr[5]),
- .o(_al_u1229_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1230 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_002 ),
- .d(rdaddr[5]),
- .o(_al_u1230_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1231 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_002 ),
- .c(_al_u1230_o),
- .d(rdaddr[5]),
- .o(_al_u1231_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'haaffccf0))
- _al_u1232 (
- .a(_al_u1227_o),
- .b(_al_u1229_o),
- .c(_al_u1231_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1232_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1233 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_002 ),
- .o(_al_u1233_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1234 (
- .a(_al_u1233_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_002 ),
- .o(_al_u1234_o));
- AL_MAP_LUT5 #(
- .EQN("(D*((~C*B)*~(A)*~(E)+(~C*B)*A*~(E)+~((~C*B))*A*E+(~C*B)*A*E))"),
- .INIT(32'haa000c00))
- _al_u1235 (
- .a(_al_u1225_o),
- .b(_al_u1232_o),
- .c(_al_u1234_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1235_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1236 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_002 ),
- .d(rdaddr[5]),
- .o(_al_u1236_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1237 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_002 ),
- .c(_al_u1236_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_34 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1238 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_002 ),
- .d(rdaddr[5]),
- .o(_al_u1238_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1239 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_002 ),
- .c(_al_u1238_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_35 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1240 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_002 ),
- .d(rdaddr[5]),
- .o(_al_u1240_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1241 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_002 ),
- .c(_al_u1240_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_33 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1242 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_002 ),
- .d(rdaddr[5]),
- .o(_al_u1242_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1243 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_002 ),
- .c(_al_u1242_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_32 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u1244 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_33 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_32 ),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u1244_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u1245 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_34 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_35 ),
- .c(_al_u1244_o),
- .d(rdaddr[7]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_8 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1246 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_002 ),
- .d(rdaddr[5]),
- .o(_al_u1246_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1247 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_002 ),
- .c(_al_u1246_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_36 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1248 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_002 ),
- .d(rdaddr[5]),
- .o(_al_u1248_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1249 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_002 ),
- .c(_al_u1248_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_37 ));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*~A))"),
- .INIT(16'h8acf))
- _al_u1250 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_36 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_37 ),
- .c(_al_u668_o),
- .d(_al_u678_o),
- .o(_al_u1250_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1251 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_002 ),
- .d(rdaddr[6]),
- .o(_al_u1251_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1252 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_002 ),
- .c(_al_u1251_o),
- .d(rdaddr[6]),
- .o(_al_u1252_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1253 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_002 ),
- .d(rdaddr[6]),
- .o(_al_u1253_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1254 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_002 ),
- .c(_al_u1253_o),
- .d(rdaddr[6]),
- .o(_al_u1254_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u1255 (
- .a(_al_u1252_o),
- .b(_al_u1254_o),
- .c(rdaddr[7]),
- .d(rdaddr[4]),
- .o(_al_u1255_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(A*~((~C*B))*~(E)+A*(~C*B)*~(E)+~(A)*(~C*B)*E+A*(~C*B)*E))"),
- .INIT(32'h00f30055))
- _al_u1256 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_8 ),
- .b(_al_u1250_o),
- .c(_al_u1255_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1256_o));
- AL_MAP_LUT5 #(
- .EQN("~((~B*~A)*~((~D*~C))*~(E)+(~B*~A)*(~D*~C)*~(E)+~((~B*~A))*(~D*~C)*E+(~B*~A)*(~D*~C)*E)"),
- .INIT(32'hfff0eeee))
- _al_u1257 (
- .a(_al_u1194_o),
- .b(_al_u1215_o),
- .c(_al_u1235_o),
- .d(_al_u1256_o),
- .e(rdaddr[11]),
- .o(_al_u1257_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1258 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_002 ),
- .o(_al_u1258_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1259 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_002 ),
- .o(_al_u1259_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(C*~(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'h00af00cf))
- _al_u1260 (
- .a(_al_u1258_o),
- .b(_al_u1259_o),
- .c(_al_u671_o),
- .d(rdaddr[8]),
- .e(rdaddr[4]),
- .o(_al_u1260_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1261 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_002 ),
- .o(_al_u1261_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1262 (
- .a(_al_u1261_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_002 ),
- .o(_al_u1262_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1263 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_002 ),
- .o(_al_u1263_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1264 (
- .a(_al_u1263_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_002 ),
- .o(_al_u1264_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1265 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_002 ),
- .d(rdaddr[5]),
- .o(_al_u1265_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1266 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_002 ),
- .c(_al_u1265_o),
- .d(rdaddr[5]),
- .o(_al_u1266_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u1267 (
- .a(_al_u1260_o),
- .b(_al_u1262_o),
- .c(_al_u1264_o),
- .d(_al_u1266_o),
- .e(_al_u668_o),
- .o(_al_u1267_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1268 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_002 ),
- .d(rdaddr[5]),
- .o(_al_u1268_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1269 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_002 ),
- .c(_al_u1268_o),
- .d(rdaddr[5]),
- .o(_al_u1269_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1270 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_002 ),
- .d(rdaddr[5]),
- .o(_al_u1270_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1271 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_002 ),
- .c(_al_u1270_o),
- .d(rdaddr[5]),
- .o(_al_u1271_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1272 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_002 ),
- .d(rdaddr[5]),
- .o(_al_u1272_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1273 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_002 ),
- .c(_al_u1272_o),
- .d(rdaddr[5]),
- .o(_al_u1273_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hffaaccf0))
- _al_u1274 (
- .a(_al_u1269_o),
- .b(_al_u1271_o),
- .c(_al_u1273_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1274_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1275 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_002 ),
- .d(rdaddr[5]),
- .o(_al_u1275_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1276 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_002 ),
- .c(_al_u1275_o),
- .d(rdaddr[5]),
- .o(_al_u1276_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u1277 (
- .a(_al_u1276_o),
- .b(_al_u674_o),
- .c(rdaddr[8]),
- .o(_al_u1277_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~A*~(C*B)))"),
- .INIT(16'h00ea))
- _al_u1278 (
- .a(_al_u1267_o),
- .b(_al_u1274_o),
- .c(_al_u1277_o),
- .d(rdaddr[9]),
- .o(_al_u1278_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1279 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_002 ),
- .d(rdaddr[5]),
- .o(_al_u1279_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1280 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_002 ),
- .c(_al_u1279_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_60 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1281 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_002 ),
- .d(rdaddr[5]),
- .o(_al_u1281_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1282 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_002 ),
- .c(_al_u1281_o),
- .d(rdaddr[5]),
- .o(_al_u1282_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
- .INIT(16'h0c05))
- _al_u1283 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_60 ),
- .b(_al_u1282_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1283_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1284 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_002 ),
- .d(rdaddr[5]),
- .o(_al_u1284_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1285 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_002 ),
- .c(_al_u1284_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_62 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1286 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_002 ),
- .d(rdaddr[5]),
- .o(_al_u1286_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1287 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_002 ),
- .c(_al_u1286_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_63 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u1288 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_62 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_63 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1288_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(D*~B*~A))"),
- .INIT(16'he0f0))
- _al_u1289 (
- .a(_al_u1283_o),
- .b(_al_u1288_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u1289_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1290 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_002 ),
- .d(rdaddr[5]),
- .o(_al_u1290_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1291 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_002 ),
- .c(_al_u1290_o),
- .d(rdaddr[5]),
- .o(_al_u1291_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1292 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_002 ),
- .d(rdaddr[5]),
- .o(_al_u1292_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1293 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_002 ),
- .c(_al_u1292_o),
- .d(rdaddr[5]),
- .o(_al_u1293_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*~A))"),
- .INIT(16'h8caf))
- _al_u1294 (
- .a(_al_u1291_o),
- .b(_al_u1293_o),
- .c(_al_u668_o),
- .d(_al_u674_o),
- .o(_al_u1294_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1295 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_002 ),
- .o(_al_u1295_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1296 (
- .a(_al_u1295_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_002 ),
- .o(_al_u1296_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1297 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_002 ),
- .o(_al_u1297_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1298 (
- .a(_al_u1297_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_002 ),
- .o(_al_u1298_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~C*~B*A))"),
- .INIT(16'h00fd))
- _al_u1299 (
- .a(_al_u1294_o),
- .b(_al_u1296_o),
- .c(_al_u1298_o),
- .d(rdaddr[8]),
- .o(_al_u1299_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(~C*B))"),
- .INIT(8'h51))
- _al_u1300 (
- .a(_al_u1278_o),
- .b(_al_u1289_o),
- .c(_al_u1299_o),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B5_3 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1301 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_002 ),
- .d(rdaddr[5]),
- .o(_al_u1301_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1302 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_002 ),
- .c(_al_u1301_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_26 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1303 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_002 ),
- .d(rdaddr[5]),
- .o(_al_u1303_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1304 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_002 ),
- .c(_al_u1303_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_27 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1305 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_002 ),
- .d(rdaddr[5]),
- .o(_al_u1305_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1306 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_002 ),
- .c(_al_u1305_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_24 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1307 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_002 ),
- .d(rdaddr[5]),
- .o(_al_u1307_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1308 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_002 ),
- .c(_al_u1307_o),
- .d(rdaddr[5]),
- .o(_al_u1308_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf03a))
- _al_u1309 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_24 ),
- .b(_al_u1308_o),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u1309_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u1310 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_26 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_27 ),
- .c(_al_u1309_o),
- .d(rdaddr[7]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_6 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1311 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_002 ),
- .d(rdaddr[5]),
- .o(_al_u1311_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1312 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_002 ),
- .c(_al_u1311_o),
- .d(rdaddr[5]),
- .o(_al_u1312_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1313 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_002 ),
- .d(rdaddr[5]),
- .o(_al_u1313_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1314 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_002 ),
- .c(_al_u1313_o),
- .d(rdaddr[5]),
- .o(_al_u1314_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1315 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_002 ),
- .d(rdaddr[5]),
- .o(_al_u1315_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1316 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_002 ),
- .c(_al_u1315_o),
- .d(rdaddr[5]),
- .o(_al_u1316_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1317 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_002 ),
- .d(rdaddr[5]),
- .o(_al_u1317_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1318 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_002 ),
- .c(_al_u1317_o),
- .d(rdaddr[5]),
- .o(_al_u1318_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u1319 (
- .a(_al_u1316_o),
- .b(_al_u1318_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1319_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u1320 (
- .a(_al_u1312_o),
- .b(_al_u1314_o),
- .c(_al_u1319_o),
- .d(rdaddr[6]),
- .o(_al_u1320_o));
- AL_MAP_LUT3 #(
- .EQN("~(~B*~(A)*~(C)+~B*A*~(C)+~(~B)*A*C+~B*A*C)"),
- .INIT(8'h5c))
- _al_u1321 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_6 ),
- .b(_al_u1320_o),
- .c(rdaddr[9]),
- .o(_al_u1321_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1322 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_002 ),
- .d(rdaddr[5]),
- .o(_al_u1322_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1323 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_002 ),
- .c(_al_u1322_o),
- .d(rdaddr[5]),
- .o(_al_u1323_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u1324 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_002 ),
- .o(_al_u1324_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u1325 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_002 ),
- .o(_al_u1325_o));
- AL_MAP_LUT4 #(
- .EQN("~(~A*~((~C*~B))*~(D)+~A*(~C*~B)*~(D)+~(~A)*(~C*~B)*D+~A*(~C*~B)*D)"),
- .INIT(16'hfcaa))
- _al_u1326 (
- .a(_al_u1323_o),
- .b(_al_u1324_o),
- .c(_al_u1325_o),
- .d(rdaddr[6]),
- .o(_al_u1326_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1327 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_002 ),
- .o(_al_u1327_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1328 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_002 ),
- .o(_al_u1328_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0503))
- _al_u1329 (
- .a(_al_u1327_o),
- .b(_al_u1328_o),
- .c(rdaddr[6]),
- .d(rdaddr[5]),
- .o(_al_u1329_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1330 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_002 ),
- .d(rdaddr[5]),
- .o(_al_u1330_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1331 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_002 ),
- .c(_al_u1330_o),
- .d(rdaddr[5]),
- .o(_al_u1331_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~((~B*~(E*~C)))*~(D)+A*(~B*~(E*~C))*~(D)+~(A)*(~B*~(E*~C))*D+A*(~B*~(E*~C))*D)"),
- .INIT(32'h30aa33aa))
- _al_u1332 (
- .a(_al_u1326_o),
- .b(_al_u1329_o),
- .c(_al_u1331_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1332_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1333 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_002 ),
- .o(_al_u1333_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1334 (
- .a(_al_u1333_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_002 ),
- .o(_al_u1334_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(~B*~(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)))"),
- .INIT(32'h55544544))
- _al_u1335 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_002 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_002 ),
- .o(_al_u1335_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u1336 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_002 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_002 ),
- .o(_al_u1336_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~A*~(~C*B))"),
- .INIT(16'h0051))
- _al_u1337 (
- .a(_al_u1334_o),
- .b(_al_u1335_o),
- .c(_al_u1336_o),
- .d(rdaddr[7]),
- .o(_al_u1337_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1338 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_002 ),
- .d(rdaddr[5]),
- .o(_al_u1338_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1339 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_002 ),
- .c(_al_u1338_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_30 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1340 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_002 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_002 ),
- .d(rdaddr[5]),
- .o(_al_u1340_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1341 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_002 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_002 ),
- .c(_al_u1340_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_31 ));
- AL_MAP_LUT5 #(
- .EQN("(C*~(D*~(A*~(B)*~(E)+A*B*~(E)+~(A)*B*E+A*B*E)))"),
- .INIT(32'hc0f0a0f0))
- _al_u1342 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_30 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_31 ),
- .c(rdaddr[9]),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1342_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(~D*~A))"),
- .INIT(16'hcf8a))
- _al_u1343 (
- .a(_al_u1332_o),
- .b(_al_u1337_o),
- .c(_al_u1342_o),
- .d(rdaddr[9]),
- .o(_al_u1343_o));
- AL_MAP_LUT5 #(
- .EQN("(~(B*~(C)*~(E)+B*C*~(E)+~(B)*C*E+B*C*E)*~(A)*~(D)+~(B*~(C)*~(E)+B*C*~(E)+~(B)*C*E+B*C*E)*A*~(D)+~(~(B*~(C)*~(E)+B*C*~(E)+~(B)*C*E+B*C*E))*A*D+~(B*~(C)*~(E)+B*C*~(E)+~(B)*C*E+B*C*E)*A*D)"),
- .INIT(32'haa0faa33))
- _al_u1344 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B5_3 ),
- .b(_al_u1321_o),
- .c(_al_u1343_o),
- .d(rdaddr[11]),
- .e(rdaddr[8]),
- .o(_al_u1344_o));
- AL_MAP_LUT5 #(
- .EQN("~(~(~B*~(C)*~(E)+~B*C*~(E)+~(~B)*C*E+~B*C*E)*~(A)*~(D)+~(~B*~(C)*~(E)+~B*C*~(E)+~(~B)*C*E+~B*C*E)*A*~(D)+~(~(~B*~(C)*~(E)+~B*C*~(E)+~(~B)*C*E+~B*C*E))*A*D+~(~B*~(C)*~(E)+~B*C*~(E)+~(~B)*C*E+~B*C*E)*A*D)"),
- .INIT(32'h55f05533))
- _al_u1345 (
- .a(_al_u1171_o),
- .b(_al_u1257_o),
- .c(_al_u1344_o),
- .d(rdaddr[12]),
- .e(rdaddr[10]),
- .o(\FM_Dump_Data_IQ/n62 [2]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1346 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_001 ),
- .d(rdaddr[5]),
- .o(_al_u1346_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1347 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_001 ),
- .c(_al_u1346_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_18 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1348 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_001 ),
- .d(rdaddr[5]),
- .o(_al_u1348_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1349 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_001 ),
- .c(_al_u1348_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_19 ));
- AL_MAP_LUT4 #(
- .EQN("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'hc0a0))
- _al_u1350 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_18 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_19 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1350_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1351 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_001 ),
- .d(rdaddr[5]),
- .o(_al_u1351_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1352 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_001 ),
- .c(_al_u1351_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_20 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1353 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_001 ),
- .d(rdaddr[5]),
- .o(_al_u1353_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1354 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_001 ),
- .c(_al_u1353_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_21 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0c0a))
- _al_u1355 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_20 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_21 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1355_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1356 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_001 ),
- .d(rdaddr[5]),
- .o(_al_u1356_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1357 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_001 ),
- .c(_al_u1356_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_16 ));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u1358 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_001 ),
- .o(_al_u1358_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u1359 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_001 ),
- .o(_al_u1359_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'h000300aa))
- _al_u1360 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_16 ),
- .b(_al_u1358_o),
- .c(_al_u1359_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1360_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1361 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_001 ),
- .d(rdaddr[5]),
- .o(_al_u1361_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1362 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_001 ),
- .c(_al_u1361_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_22 ));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u1363 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_001 ),
- .o(_al_u1363_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u1364 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_001 ),
- .o(_al_u1364_o));
- AL_MAP_LUT5 #(
- .EQN("(D*(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'h0300aa00))
- _al_u1365 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_22 ),
- .b(_al_u1363_o),
- .c(_al_u1364_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1365_o));
- AL_MAP_LUT5 #(
- .EQN("~((~C*~A)*~((~D*~B))*~(E)+(~C*~A)*(~D*~B)*~(E)+~((~C*~A))*(~D*~B)*E+(~C*~A)*(~D*~B)*E)"),
- .INIT(32'hffccfafa))
- _al_u1366 (
- .a(_al_u1350_o),
- .b(_al_u1355_o),
- .c(_al_u1360_o),
- .d(_al_u1365_o),
- .e(rdaddr[8]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_2 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1367 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_001 ),
- .d(rdaddr[5]),
- .o(_al_u1367_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1368 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_001 ),
- .c(_al_u1367_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_24 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1369 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_001 ),
- .d(rdaddr[5]),
- .o(_al_u1369_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1370 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_001 ),
- .c(_al_u1369_o),
- .d(rdaddr[5]),
- .o(_al_u1370_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hfc05))
- _al_u1371 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_24 ),
- .b(_al_u1370_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1371_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1372 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_001 ),
- .d(rdaddr[5]),
- .o(_al_u1372_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1373 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_001 ),
- .c(_al_u1372_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_27 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1374 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_001 ),
- .d(rdaddr[5]),
- .o(_al_u1374_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1375 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_001 ),
- .c(_al_u1374_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_26 ));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+A*~(B)*C*~(E)+A*B*C*~(E)+~(A)*~(B)*~(C)*E+A*~(B)*~(C)*E+~(A)*B*~(C)*E+A*~(B)*C*E))"),
- .INIT(32'h002700aa))
- _al_u1376 (
- .a(_al_u1371_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_27 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_26 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1376_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1377 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_001 ),
- .d(rdaddr[5]),
- .o(_al_u1377_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1378 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_001 ),
- .c(_al_u1377_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_30 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1379 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_001 ),
- .d(rdaddr[5]),
- .o(_al_u1379_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1380 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_001 ),
- .c(_al_u1379_o),
- .d(rdaddr[5]),
- .o(_al_u1380_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcf50))
- _al_u1381 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_30 ),
- .b(_al_u1380_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1381_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1382 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_001 ),
- .d(rdaddr[5]),
- .o(_al_u1382_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1383 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_001 ),
- .c(_al_u1382_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_28 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1384 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_001 ),
- .d(rdaddr[5]),
- .o(_al_u1384_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1385 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_001 ),
- .c(_al_u1384_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_29 ));
- AL_MAP_LUT5 #(
- .EQN("(D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+A*~(B)*~(C)*E+A*B*~(C)*E+A*~(B)*C*E+A*B*C*E))"),
- .INIT(32'haa001b00))
- _al_u1386 (
- .a(_al_u1381_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_28 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_29 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1386_o));
- AL_MAP_LUT5 #(
- .EQN("(D*(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'h0300aa00))
- _al_u1387 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_2 ),
- .b(_al_u1376_o),
- .c(_al_u1386_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u1387_o));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'haad8))
- _al_u1388 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_001 ),
- .d(rdaddr[5]),
- .o(_al_u1388_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u1389 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_001 ),
- .c(_al_u1388_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_2 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1390 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_001 ),
- .d(rdaddr[5]),
- .o(_al_u1390_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1391 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_001 ),
- .c(_al_u1390_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_3 ));
- AL_MAP_LUT4 #(
- .EQN("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'hc0a0))
- _al_u1392 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_2 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_3 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1392_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1393 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_001 ),
- .d(rdaddr[5]),
- .o(_al_u1393_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1394 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_001 ),
- .c(_al_u1393_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_4 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1395 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_001 ),
- .d(rdaddr[5]),
- .o(_al_u1395_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1396 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_001 ),
- .c(_al_u1395_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_5 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0c0a))
- _al_u1397 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_4 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_5 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1397_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1398 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_001 ),
- .d(rdaddr[5]),
- .o(_al_u1398_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1399 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_001 ),
- .c(_al_u1398_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_0 ));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u1400 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_001 ),
- .o(_al_u1400_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u1401 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_001 ),
- .o(_al_u1401_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'h000300aa))
- _al_u1402 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_0 ),
- .b(_al_u1400_o),
- .c(_al_u1401_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1402_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1403 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_001 ),
- .d(rdaddr[5]),
- .o(_al_u1403_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1404 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_001 ),
- .c(_al_u1403_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_6 ));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u1405 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_001 ),
- .o(_al_u1405_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u1406 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_001 ),
- .o(_al_u1406_o));
- AL_MAP_LUT5 #(
- .EQN("(D*(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'h0300aa00))
- _al_u1407 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_6 ),
- .b(_al_u1405_o),
- .c(_al_u1406_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1407_o));
- AL_MAP_LUT5 #(
- .EQN("~((~C*~A)*~((~D*~B))*~(E)+(~C*~A)*(~D*~B)*~(E)+~((~C*~A))*(~D*~B)*E+(~C*~A)*(~D*~B)*E)"),
- .INIT(32'hffccfafa))
- _al_u1408 (
- .a(_al_u1392_o),
- .b(_al_u1397_o),
- .c(_al_u1402_o),
- .d(_al_u1407_o),
- .e(rdaddr[8]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_0 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1409 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_001 ),
- .d(rdaddr[5]),
- .o(_al_u1409_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1410 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_001 ),
- .c(_al_u1409_o),
- .d(rdaddr[5]),
- .o(_al_u1410_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1411 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_001 ),
- .d(rdaddr[5]),
- .o(_al_u1411_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1412 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_001 ),
- .c(_al_u1411_o),
- .d(rdaddr[5]),
- .o(_al_u1412_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hfc0a))
- _al_u1413 (
- .a(_al_u1410_o),
- .b(_al_u1412_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1413_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1414 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_001 ),
- .d(rdaddr[5]),
- .o(_al_u1414_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1415 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_001 ),
- .c(_al_u1414_o),
- .d(rdaddr[5]),
- .o(_al_u1415_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1416 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_001 ),
- .d(rdaddr[5]),
- .o(_al_u1416_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1417 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_001 ),
- .c(_al_u1416_o),
- .d(rdaddr[5]),
- .o(_al_u1417_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+A*~(B)*C*~(E)+A*B*C*~(E)+A*B*~(C)*E+~(A)*~(B)*C*E+~(A)*B*C*E+A*B*C*E))"),
- .INIT(32'h00d800aa))
- _al_u1418 (
- .a(_al_u1413_o),
- .b(_al_u1415_o),
- .c(_al_u1417_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1418_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1419 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_001 ),
- .d(rdaddr[5]),
- .o(_al_u1419_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1420 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_001 ),
- .c(_al_u1419_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_14 ));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u1421 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_001 ),
- .o(_al_u1421_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u1422 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_001 ),
- .o(_al_u1422_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~((~C*~B))*D*~(E)+~(A)*(~C*~B)*D*~(E)+~(A)*~((~C*~B))*~(D)*E+A*~((~C*~B))*~(D)*E+~(A)*(~C*~B)*~(D)*E+A*(~C*~B)*~(D)*E+~(A)*~((~C*~B))*D*E+A*~((~C*~B))*D*E)"),
- .INIT(32'hfcff5500))
- _al_u1423 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_14 ),
- .b(_al_u1421_o),
- .c(_al_u1422_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1423_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1424 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_001 ),
- .d(rdaddr[5]),
- .o(_al_u1424_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1425 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_001 ),
- .c(_al_u1424_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_12 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1426 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_001 ),
- .d(rdaddr[5]),
- .o(_al_u1426_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1427 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_001 ),
- .c(_al_u1426_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_13 ));
- AL_MAP_LUT5 #(
- .EQN("(D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+A*~(B)*~(C)*E+A*B*~(C)*E+A*~(B)*C*E+A*B*C*E))"),
- .INIT(32'haa001b00))
- _al_u1428 (
- .a(_al_u1423_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_12 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_13 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1428_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'h000300aa))
- _al_u1429 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_0 ),
- .b(_al_u1418_o),
- .c(_al_u1428_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u1429_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u1430 (
- .a(_al_u1387_o),
- .b(_al_u1429_o),
- .c(rdaddr[11]),
- .o(_al_u1430_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1431 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_001 ),
- .d(rdaddr[5]),
- .o(_al_u1431_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1432 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_001 ),
- .c(_al_u1431_o),
- .d(rdaddr[5]),
- .o(_al_u1432_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1433 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_001 ),
- .d(rdaddr[5]),
- .o(_al_u1433_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1434 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_001 ),
- .c(_al_u1433_o),
- .d(rdaddr[5]),
- .o(_al_u1434_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1435 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_001 ),
- .d(rdaddr[5]),
- .o(_al_u1435_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1436 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_001 ),
- .c(_al_u1435_o),
- .d(rdaddr[5]),
- .o(_al_u1436_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'haaffccf0))
- _al_u1437 (
- .a(_al_u1432_o),
- .b(_al_u1434_o),
- .c(_al_u1436_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1437_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1438 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_001 ),
- .d(rdaddr[5]),
- .o(_al_u1438_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1439 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_001 ),
- .c(_al_u1438_o),
- .d(rdaddr[5]),
- .o(_al_u1439_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*~B))"),
- .INIT(8'h8a))
- _al_u1440 (
- .a(_al_u1437_o),
- .b(_al_u1439_o),
- .c(_al_u668_o),
- .o(_al_u1440_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1441 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_001 ),
- .o(_al_u1441_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1442 (
- .a(_al_u1441_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_001 ),
- .o(_al_u1442_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1443 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_001 ),
- .o(_al_u1443_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1444 (
- .a(_al_u1443_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_001 ),
- .o(_al_u1444_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1445 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_001 ),
- .d(rdaddr[5]),
- .o(_al_u1445_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1446 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_001 ),
- .c(_al_u1445_o),
- .d(rdaddr[5]),
- .o(_al_u1446_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*~C))"),
- .INIT(16'h1011))
- _al_u1447 (
- .a(_al_u1442_o),
- .b(_al_u1444_o),
- .c(_al_u1446_o),
- .d(_al_u678_o),
- .o(_al_u1447_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1448 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_001 ),
- .d(rdaddr[5]),
- .o(_al_u1448_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1449 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_001 ),
- .c(_al_u1448_o),
- .d(rdaddr[5]),
- .o(_al_u1449_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*~B))"),
- .INIT(8'h8a))
- _al_u1450 (
- .a(_al_u1447_o),
- .b(_al_u1449_o),
- .c(_al_u674_o),
- .o(_al_u1450_o));
- AL_MAP_LUT4 #(
- .EQN("(C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'ha0c0))
- _al_u1451 (
- .a(_al_u1440_o),
- .b(_al_u1450_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u1451_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1452 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_001 ),
- .d(rdaddr[5]),
- .o(_al_u1452_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1453 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_001 ),
- .c(_al_u1452_o),
- .d(rdaddr[5]),
- .o(_al_u1453_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1454 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_001 ),
- .d(rdaddr[5]),
- .o(_al_u1454_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1455 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_001 ),
- .c(_al_u1454_o),
- .d(rdaddr[5]),
- .o(_al_u1455_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u1456 (
- .a(_al_u1453_o),
- .b(_al_u1455_o),
- .c(rdaddr[6]),
- .o(_al_u1456_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1457 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_001 ),
- .d(rdaddr[5]),
- .o(_al_u1457_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1458 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_001 ),
- .c(_al_u1457_o),
- .d(rdaddr[5]),
- .o(_al_u1458_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1459 (
- .a(_al_u1458_o),
- .b(rdaddr[6]),
- .o(_al_u1459_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1460 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_001 ),
- .d(rdaddr[5]),
- .o(_al_u1460_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1461 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_001 ),
- .c(_al_u1460_o),
- .d(rdaddr[5]),
- .o(_al_u1461_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1462 (
- .a(_al_u1461_o),
- .b(rdaddr[6]),
- .o(_al_u1462_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'hfc005500))
- _al_u1463 (
- .a(_al_u1456_o),
- .b(_al_u1459_o),
- .c(_al_u1462_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1463_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1464 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_001 ),
- .d(rdaddr[5]),
- .o(_al_u1464_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1465 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_001 ),
- .c(_al_u1464_o),
- .d(rdaddr[5]),
- .o(_al_u1465_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1466 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_001 ),
- .d(rdaddr[5]),
- .o(_al_u1466_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1467 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_001 ),
- .c(_al_u1466_o),
- .d(rdaddr[5]),
- .o(_al_u1467_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1468 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_001 ),
- .d(rdaddr[5]),
- .o(_al_u1468_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1469 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_001 ),
- .c(_al_u1468_o),
- .d(rdaddr[5]),
- .o(_al_u1469_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1470 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_001 ),
- .d(rdaddr[5]),
- .o(_al_u1470_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1471 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_001 ),
- .c(_al_u1470_o),
- .d(rdaddr[5]),
- .o(_al_u1471_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u1472 (
- .a(_al_u1469_o),
- .b(_al_u1471_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1472_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u1473 (
- .a(_al_u1465_o),
- .b(_al_u1467_o),
- .c(_al_u1472_o),
- .d(rdaddr[6]),
- .o(_al_u1473_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~D*~A*~(~E*~B)))"),
- .INIT(32'hf0a0f0b0))
- _al_u1474 (
- .a(_al_u1463_o),
- .b(_al_u1473_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1474_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1475 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_001 ),
- .d(rdaddr[5]),
- .o(_al_u1475_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1476 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_001 ),
- .c(_al_u1475_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_64 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1477 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_001 ),
- .d(rdaddr[5]),
- .o(_al_u1477_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1478 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_001 ),
- .c(_al_u1477_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_65 ));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u1479 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_64 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_65 ),
- .c(rdaddr[6]),
- .o(_al_u1479_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1480 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_001 ),
- .d(rdaddr[5]),
- .o(_al_u1480_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1481 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_001 ),
- .c(_al_u1480_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_66 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u1482 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_001 ),
- .o(_al_u1482_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u1483 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_001 ),
- .o(_al_u1483_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~((~C*~B))*~(D)+A*(~C*~B)*~(D)+~(A)*(~C*~B)*D+A*(~C*~B)*D)"),
- .INIT(16'hfc55))
- _al_u1484 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_66 ),
- .b(_al_u1482_o),
- .c(_al_u1483_o),
- .d(rdaddr[6]),
- .o(_al_u1484_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(~D*~(A*~(B)*~(E)+A*B*~(E)+~(A)*B*E+A*B*E)))"),
- .INIT(32'h0f0c0f0a))
- _al_u1485 (
- .a(_al_u1479_o),
- .b(_al_u1484_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1485_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1486 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_001 ),
- .d(rdaddr[5]),
- .o(_al_u1486_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1487 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_001 ),
- .c(_al_u1486_o),
- .d(rdaddr[5]),
- .o(_al_u1487_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1488 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_001 ),
- .d(rdaddr[5]),
- .o(_al_u1488_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1489 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_001 ),
- .c(_al_u1488_o),
- .d(rdaddr[5]),
- .o(_al_u1489_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0a0c))
- _al_u1490 (
- .a(_al_u1487_o),
- .b(_al_u1489_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1490_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1491 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_001 ),
- .o(_al_u1491_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1492 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_001 ),
- .o(_al_u1492_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u1493 (
- .a(_al_u1491_o),
- .b(_al_u1492_o),
- .c(rdaddr[6]),
- .d(rdaddr[5]),
- .o(_al_u1493_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1494 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_001 ),
- .d(rdaddr[5]),
- .o(_al_u1494_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1495 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_001 ),
- .c(_al_u1494_o),
- .d(rdaddr[5]),
- .o(_al_u1495_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(~D*~B))"),
- .INIT(16'h5040))
- _al_u1496 (
- .a(_al_u1493_o),
- .b(_al_u1495_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1496_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(A*~(E*~C*~B)))"),
- .INIT(32'h00570055))
- _al_u1497 (
- .a(_al_u1485_o),
- .b(_al_u1490_o),
- .c(_al_u1496_o),
- .d(rdaddr[10]),
- .e(rdaddr[8]),
- .o(_al_u1497_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1498 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_001 ),
- .d(rdaddr[5]),
- .o(_al_u1498_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1499 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_001 ),
- .c(_al_u1498_o),
- .d(rdaddr[5]),
- .o(_al_u1499_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1500 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_001 ),
- .d(rdaddr[5]),
- .o(_al_u1500_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1501 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_001 ),
- .c(_al_u1500_o),
- .d(rdaddr[5]),
- .o(_al_u1501_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1502 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_001 ),
- .d(rdaddr[5]),
- .o(_al_u1502_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1503 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_001 ),
- .c(_al_u1502_o),
- .d(rdaddr[5]),
- .o(_al_u1503_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1504 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_001 ),
- .d(rdaddr[5]),
- .o(_al_u1504_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1505 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_001 ),
- .c(_al_u1504_o),
- .d(rdaddr[5]),
- .o(_al_u1505_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1506 (
- .a(_al_u1503_o),
- .b(_al_u1505_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1506_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'hacf0))
- _al_u1507 (
- .a(_al_u1499_o),
- .b(_al_u1501_o),
- .c(_al_u1506_o),
- .d(rdaddr[6]),
- .o(_al_u1507_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1508 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_001 ),
- .o(_al_u1508_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1509 (
- .a(_al_u1508_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_001 ),
- .o(_al_u1509_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(~B*~(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)))"),
- .INIT(32'h55544544))
- _al_u1510 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_001 ),
- .o(_al_u1510_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u1511 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_001 ),
- .o(_al_u1511_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~A*~(~C*B))"),
- .INIT(16'h0051))
- _al_u1512 (
- .a(_al_u1509_o),
- .b(_al_u1510_o),
- .c(_al_u1511_o),
- .d(rdaddr[7]),
- .o(_al_u1512_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1513 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_001 ),
- .d(rdaddr[5]),
- .o(_al_u1513_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1514 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_001 ),
- .c(_al_u1513_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_94 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1515 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_001 ),
- .d(rdaddr[5]),
- .o(_al_u1515_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1516 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_001 ),
- .c(_al_u1515_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_95 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u1517 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_94 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_95 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1517_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(~A*~((~C*~B))*~(E)+~A*(~C*~B)*~(E)+~(~A)*(~C*~B)*E+~A*(~C*~B)*E))"),
- .INIT(32'hfc00aa00))
- _al_u1518 (
- .a(_al_u1507_o),
- .b(_al_u1512_o),
- .c(_al_u1517_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1518_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~D*B)*~(C*~A))"),
- .INIT(32'h0000af23))
- _al_u1519 (
- .a(_al_u1451_o),
- .b(_al_u1474_o),
- .c(_al_u1497_o),
- .d(_al_u1518_o),
- .e(rdaddr[11]),
- .o(_al_u1519_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1520 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_001 ),
- .o(_al_u1520_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1521 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_001 ),
- .o(_al_u1521_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(C*~(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'haf00cf00))
- _al_u1522 (
- .a(_al_u1520_o),
- .b(_al_u1521_o),
- .c(_al_u674_o),
- .d(rdaddr[8]),
- .e(rdaddr[4]),
- .o(_al_u1522_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1523 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_001 ),
- .o(_al_u1523_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1524 (
- .a(_al_u1523_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_001 ),
- .o(_al_u1524_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1525 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_001 ),
- .o(_al_u1525_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1526 (
- .a(_al_u1525_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_001 ),
- .o(_al_u1526_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1527 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_001 ),
- .d(rdaddr[5]),
- .o(_al_u1527_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1528 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_001 ),
- .c(_al_u1527_o),
- .d(rdaddr[5]),
- .o(_al_u1528_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u1529 (
- .a(_al_u1522_o),
- .b(_al_u1524_o),
- .c(_al_u1526_o),
- .d(_al_u1528_o),
- .e(_al_u668_o),
- .o(_al_u1529_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1530 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_001 ),
- .d(rdaddr[5]),
- .o(_al_u1530_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1531 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_001 ),
- .c(_al_u1530_o),
- .d(rdaddr[5]),
- .o(_al_u1531_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1532 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_001 ),
- .d(rdaddr[5]),
- .o(_al_u1532_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1533 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_001 ),
- .c(_al_u1532_o),
- .d(rdaddr[5]),
- .o(_al_u1533_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1534 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_001 ),
- .d(rdaddr[5]),
- .o(_al_u1534_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1535 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_001 ),
- .c(_al_u1534_o),
- .d(rdaddr[5]),
- .o(_al_u1535_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'haaffccf0))
- _al_u1536 (
- .a(_al_u1531_o),
- .b(_al_u1533_o),
- .c(_al_u1535_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1536_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1537 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_001 ),
- .d(rdaddr[5]),
- .o(_al_u1537_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1538 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_001 ),
- .c(_al_u1537_o),
- .d(rdaddr[5]),
- .o(_al_u1538_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*~A))"),
- .INIT(8'h0b))
- _al_u1539 (
- .a(_al_u1538_o),
- .b(_al_u668_o),
- .c(rdaddr[8]),
- .o(_al_u1539_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~A*~(C*B)))"),
- .INIT(32'hea00ff00))
- _al_u1540 (
- .a(_al_u1529_o),
- .b(_al_u1536_o),
- .c(_al_u1539_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u1540_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1541 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_001 ),
- .d(rdaddr[5]),
- .o(_al_u1541_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1542 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_001 ),
- .c(_al_u1541_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_116 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1543 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_001 ),
- .d(rdaddr[5]),
- .o(_al_u1543_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1544 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_001 ),
- .c(_al_u1543_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_117 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0305))
- _al_u1545 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_116 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_117 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1545_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1546 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_001 ),
- .d(rdaddr[6]),
- .o(_al_u1546_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1547 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_001 ),
- .c(_al_u1546_o),
- .d(rdaddr[6]),
- .o(_al_u1547_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1548 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_001 ),
- .d(rdaddr[6]),
- .o(_al_u1548_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1549 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_001 ),
- .c(_al_u1548_o),
- .d(rdaddr[6]),
- .o(_al_u1549_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u1550 (
- .a(_al_u1547_o),
- .b(_al_u1549_o),
- .c(rdaddr[7]),
- .d(rdaddr[4]),
- .o(_al_u1550_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(D*~(~B*~A)))"),
- .INIT(16'h010f))
- _al_u1551 (
- .a(_al_u1545_o),
- .b(_al_u1550_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u1551_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1552 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_001 ),
- .d(rdaddr[5]),
- .o(_al_u1552_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1553 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_001 ),
- .c(_al_u1552_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_114 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1554 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_001 ),
- .d(rdaddr[5]),
- .o(_al_u1554_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1555 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_001 ),
- .c(_al_u1554_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_115 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u1556 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_114 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_115 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1556_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1557 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_001 ),
- .d(rdaddr[5]),
- .o(_al_u1557_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1558 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_001 ),
- .c(_al_u1557_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_113 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1559 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_001 ),
- .d(rdaddr[5]),
- .o(_al_u1559_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1560 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_001 ),
- .c(_al_u1559_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_112 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0503))
- _al_u1561 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_113 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_112 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1561_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B*~(~E*~(~D*~C))))"),
- .INIT(32'h2222aaa2))
- _al_u1562 (
- .a(_al_u1540_o),
- .b(_al_u1551_o),
- .c(_al_u1556_o),
- .d(_al_u1561_o),
- .e(rdaddr[8]),
- .o(_al_u1562_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1563 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_001 ),
- .d(rdaddr[5]),
- .o(_al_u1563_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1564 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_001 ),
- .c(_al_u1563_o),
- .d(rdaddr[5]),
- .o(_al_u1564_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1565 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_001 ),
- .d(rdaddr[5]),
- .o(_al_u1565_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1566 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_001 ),
- .c(_al_u1565_o),
- .d(rdaddr[5]),
- .o(_al_u1566_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1567 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_001 ),
- .d(rdaddr[5]),
- .o(_al_u1567_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1568 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_001 ),
- .c(_al_u1567_o),
- .d(rdaddr[5]),
- .o(_al_u1568_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hf0ffccaa))
- _al_u1569 (
- .a(_al_u1564_o),
- .b(_al_u1566_o),
- .c(_al_u1568_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1569_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1570 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_001 ),
- .o(_al_u1570_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1571 (
- .a(_al_u1570_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_001 ),
- .o(_al_u1571_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*A))"),
- .INIT(8'h0d))
- _al_u1572 (
- .a(_al_u1569_o),
- .b(_al_u1571_o),
- .c(rdaddr[8]),
- .o(_al_u1572_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1573 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_001 ),
- .d(rdaddr[5]),
- .o(_al_u1573_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1574 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_001 ),
- .c(_al_u1573_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_111 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1575 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_001 ),
- .d(rdaddr[5]),
- .o(_al_u1575_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1576 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_001 ),
- .c(_al_u1575_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_110 ));
- AL_MAP_LUT5 #(
- .EQN("(C*~(D*~(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'ha0f0c0f0))
- _al_u1577 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_111 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_110 ),
- .c(rdaddr[8]),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1577_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1578 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_001 ),
- .d(rdaddr[5]),
- .o(_al_u1578_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1579 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_001 ),
- .c(_al_u1578_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_108 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1580 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_001 ),
- .d(rdaddr[5]),
- .o(_al_u1580_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1581 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_001 ),
- .c(_al_u1580_o),
- .d(rdaddr[5]),
- .o(_al_u1581_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~D*(~B*~(C)*~(E)+~B*C*~(E)+~(~B)*C*E+~B*C*E)))"),
- .INIT(32'haa0aaa88))
- _al_u1582 (
- .a(_al_u1577_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_108 ),
- .c(_al_u1581_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1582_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(D*~(~B*~A)))"),
- .INIT(16'h010f))
- _al_u1583 (
- .a(_al_u1572_o),
- .b(_al_u1582_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .o(_al_u1583_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1584 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_001 ),
- .d(rdaddr[5]),
- .o(_al_u1584_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1585 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_001 ),
- .c(_al_u1584_o),
- .d(rdaddr[5]),
- .o(_al_u1585_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1586 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_001 ),
- .d(rdaddr[5]),
- .o(_al_u1586_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1587 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_001 ),
- .c(_al_u1586_o),
- .d(rdaddr[5]),
- .o(_al_u1587_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u1588 (
- .a(_al_u1585_o),
- .b(_al_u1587_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1588_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1589 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_001 ),
- .o(_al_u1589_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1590 (
- .a(_al_u1589_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_001 ),
- .o(_al_u1590_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1591 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_001 ),
- .d(rdaddr[5]),
- .o(_al_u1591_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1592 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_001 ),
- .c(_al_u1591_o),
- .d(rdaddr[5]),
- .o(_al_u1592_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~B*~A*~(D*~C))"),
- .INIT(32'h10110000))
- _al_u1593 (
- .a(_al_u1588_o),
- .b(_al_u1590_o),
- .c(_al_u1592_o),
- .d(_al_u678_o),
- .e(rdaddr[8]),
- .o(_al_u1593_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1594 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_001 ),
- .d(rdaddr[5]),
- .o(_al_u1594_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1595 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_001 ),
- .c(_al_u1594_o),
- .d(rdaddr[5]),
- .o(_al_u1595_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1596 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_001 ),
- .d(rdaddr[5]),
- .o(_al_u1596_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1597 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_001 ),
- .c(_al_u1596_o),
- .d(rdaddr[5]),
- .o(_al_u1597_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1598 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_001 ),
- .d(rdaddr[5]),
- .o(_al_u1598_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1599 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_001 ),
- .c(_al_u1598_o),
- .d(rdaddr[5]),
- .o(_al_u1599_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'haaffccf0))
- _al_u1600 (
- .a(_al_u1595_o),
- .b(_al_u1597_o),
- .c(_al_u1599_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1600_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1601 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_001 ),
- .o(_al_u1601_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1602 (
- .a(_al_u1601_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_001 ),
- .o(_al_u1602_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(~E*~C*B))"),
- .INIT(32'h00550051))
- _al_u1603 (
- .a(_al_u1593_o),
- .b(_al_u1600_o),
- .c(_al_u1602_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1603_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~A*~(~C*B)))"),
- .INIT(16'hae00))
- _al_u1604 (
- .a(_al_u1562_o),
- .b(_al_u1583_o),
- .c(_al_u1603_o),
- .d(rdaddr[11]),
- .o(_al_u1604_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1605 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_001 ),
- .d(rdaddr[5]),
- .o(_al_u1605_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1606 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_001 ),
- .c(_al_u1605_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_38 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1607 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_001 ),
- .d(rdaddr[5]),
- .o(_al_u1607_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1608 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_001 ),
- .c(_al_u1607_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_39 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u1609 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_38 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_39 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1609_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1610 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_001 ),
- .d(rdaddr[5]),
- .o(_al_u1610_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1611 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_001 ),
- .c(_al_u1610_o),
- .d(rdaddr[5]),
- .o(_al_u1611_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1612 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_001 ),
- .d(rdaddr[5]),
- .o(_al_u1612_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1613 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_001 ),
- .c(_al_u1612_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_36 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*(~B*~(A)*~(D)+~B*A*~(D)+~(~B)*A*D+~B*A*D))"),
- .INIT(16'h0a03))
- _al_u1614 (
- .a(_al_u1611_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_36 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1614_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u1615 (
- .a(_al_u1609_o),
- .b(_al_u1614_o),
- .c(rdaddr[8]),
- .o(_al_u1615_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1616 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_001 ),
- .d(rdaddr[5]),
- .o(_al_u1616_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1617 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_001 ),
- .c(_al_u1616_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_35 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1618 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_001 ),
- .d(rdaddr[5]),
- .o(_al_u1618_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1619 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_001 ),
- .c(_al_u1618_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_34 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u1620 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_35 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_34 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1620_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u1621 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_001 ),
- .o(_al_u1621_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u1622 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_001 ),
- .o(_al_u1622_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~B*~A))"),
- .INIT(16'h0f0e))
- _al_u1623 (
- .a(_al_u1621_o),
- .b(_al_u1622_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1623_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1624 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_001 ),
- .d(rdaddr[5]),
- .o(_al_u1624_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1625 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_001 ),
- .c(_al_u1624_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_33 ));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(B*~(E*C)))"),
- .INIT(32'h00510011))
- _al_u1626 (
- .a(_al_u1620_o),
- .b(_al_u1623_o),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_33 ),
- .d(rdaddr[8]),
- .e(rdaddr[6]),
- .o(_al_u1626_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~B*~A))"),
- .INIT(16'h0f0e))
- _al_u1627 (
- .a(_al_u1615_o),
- .b(_al_u1626_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .o(_al_u1627_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1628 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_001 ),
- .d(rdaddr[5]),
- .o(_al_u1628_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1629 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_001 ),
- .c(_al_u1628_o),
- .d(rdaddr[5]),
- .o(_al_u1629_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1630 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_001 ),
- .d(rdaddr[5]),
- .o(_al_u1630_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1631 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_001 ),
- .c(_al_u1630_o),
- .d(rdaddr[5]),
- .o(_al_u1631_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1632 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_001 ),
- .d(rdaddr[5]),
- .o(_al_u1632_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1633 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_001 ),
- .c(_al_u1632_o),
- .d(rdaddr[5]),
- .o(_al_u1633_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1634 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_001 ),
- .d(rdaddr[5]),
- .o(_al_u1634_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1635 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_001 ),
- .c(_al_u1634_o),
- .d(rdaddr[5]),
- .o(_al_u1635_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u1636 (
- .a(_al_u1633_o),
- .b(_al_u1635_o),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u1636_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u1637 (
- .a(_al_u1629_o),
- .b(_al_u1631_o),
- .c(_al_u1636_o),
- .d(rdaddr[7]),
- .o(_al_u1637_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1638 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_001 ),
- .d(rdaddr[5]),
- .o(_al_u1638_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1639 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_001 ),
- .c(_al_u1638_o),
- .d(rdaddr[5]),
- .o(_al_u1639_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1640 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_001 ),
- .d(rdaddr[5]),
- .o(_al_u1640_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1641 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_001 ),
- .c(_al_u1640_o),
- .d(rdaddr[5]),
- .o(_al_u1641_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1642 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_001 ),
- .d(rdaddr[5]),
- .o(_al_u1642_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1643 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_001 ),
- .c(_al_u1642_o),
- .d(rdaddr[5]),
- .o(_al_u1643_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1644 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_001 ),
- .d(rdaddr[5]),
- .o(_al_u1644_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1645 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_001 ),
- .c(_al_u1644_o),
- .d(rdaddr[5]),
- .o(_al_u1645_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u1646 (
- .a(_al_u1643_o),
- .b(_al_u1645_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1646_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1647 (
- .a(_al_u1639_o),
- .b(_al_u1641_o),
- .c(_al_u1646_o),
- .d(rdaddr[6]),
- .o(_al_u1647_o));
- AL_MAP_LUT4 #(
- .EQN("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'hc0a0))
- _al_u1648 (
- .a(_al_u1637_o),
- .b(_al_u1647_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u1648_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1649 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_001 ),
- .d(rdaddr[5]),
- .o(_al_u1649_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1650 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_001 ),
- .c(_al_u1649_o),
- .d(rdaddr[5]),
- .o(_al_u1650_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1651 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_001 ),
- .d(rdaddr[5]),
- .o(_al_u1651_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1652 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_001 ),
- .c(_al_u1651_o),
- .d(rdaddr[5]),
- .o(_al_u1652_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1653 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_001 ),
- .d(rdaddr[5]),
- .o(_al_u1653_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1654 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_001 ),
- .c(_al_u1653_o),
- .d(rdaddr[5]),
- .o(_al_u1654_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1655 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_001 ),
- .d(rdaddr[5]),
- .o(_al_u1655_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1656 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_001 ),
- .c(_al_u1655_o),
- .d(rdaddr[5]),
- .o(_al_u1656_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'hacf0))
- _al_u1657 (
- .a(_al_u1654_o),
- .b(_al_u1656_o),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u1657_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1658 (
- .a(_al_u1650_o),
- .b(_al_u1652_o),
- .c(_al_u1657_o),
- .d(rdaddr[7]),
- .o(_al_u1658_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1659 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_001 ),
- .o(_al_u1659_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1660 (
- .a(_al_u1659_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_001 ),
- .o(_al_u1660_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1661 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_001 ),
- .o(_al_u1661_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1662 (
- .a(_al_u1661_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_001 ),
- .o(_al_u1662_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1663 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_001 ),
- .o(_al_u1663_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1664 (
- .a(_al_u1663_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_001 ),
- .o(_al_u1664_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1665 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_001 ),
- .d(rdaddr[5]),
- .o(_al_u1665_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1666 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_001 ),
- .c(_al_u1665_o),
- .d(rdaddr[5]),
- .o(_al_u1666_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~A*~(E*~D))"),
- .INIT(32'h01000101))
- _al_u1667 (
- .a(_al_u1660_o),
- .b(_al_u1662_o),
- .c(_al_u1664_o),
- .d(_al_u1666_o),
- .e(_al_u678_o),
- .o(_al_u1667_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~D*(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'hf050f030))
- _al_u1668 (
- .a(_al_u1658_o),
- .b(_al_u1667_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1668_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1669 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_001 ),
- .o(_al_u1669_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1670 (
- .a(_al_u1669_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_001 ),
- .o(_al_u1670_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1671 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_001 ),
- .o(_al_u1671_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1672 (
- .a(_al_u1671_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_001 ),
- .o(_al_u1672_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1673 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_001 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_001 ),
- .o(_al_u1673_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1674 (
- .a(_al_u1673_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_001 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_001 ),
- .o(_al_u1674_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1675 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_001 ),
- .d(rdaddr[5]),
- .o(_al_u1675_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1676 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_001 ),
- .c(_al_u1675_o),
- .d(rdaddr[5]),
- .o(_al_u1676_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~A*~(E*~D))"),
- .INIT(32'h01000101))
- _al_u1677 (
- .a(_al_u1670_o),
- .b(_al_u1672_o),
- .c(_al_u1674_o),
- .d(_al_u1676_o),
- .e(_al_u674_o),
- .o(_al_u1677_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1678 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_001 ),
- .d(rdaddr[5]),
- .o(_al_u1678_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1679 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_001 ),
- .c(_al_u1678_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_60 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1680 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_001 ),
- .d(rdaddr[5]),
- .o(_al_u1680_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1681 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_001 ),
- .c(_al_u1680_o),
- .d(rdaddr[5]),
- .o(_al_u1681_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
- .INIT(16'h0c05))
- _al_u1682 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_60 ),
- .b(_al_u1681_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1682_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1683 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_001 ),
- .d(rdaddr[5]),
- .o(_al_u1683_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1684 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_001 ),
- .c(_al_u1683_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_62 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1685 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_001 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_001 ),
- .d(rdaddr[5]),
- .o(_al_u1685_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1686 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_001 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_001 ),
- .c(_al_u1685_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_63 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u1687 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_62 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_63 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1687_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(~A*~((~C*~B))*~(E)+~A*(~C*~B)*~(E)+~(~A)*(~C*~B)*E+~A*(~C*~B)*E))"),
- .INIT(32'hfc00aa00))
- _al_u1688 (
- .a(_al_u1677_o),
- .b(_al_u1682_o),
- .c(_al_u1687_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1688_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~D*C)*~(~B*A))"),
- .INIT(32'hdd0d0000))
- _al_u1689 (
- .a(_al_u1627_o),
- .b(_al_u1648_o),
- .c(_al_u1668_o),
- .d(_al_u1688_o),
- .e(rdaddr[11]),
- .o(_al_u1689_o));
- AL_MAP_LUT5 #(
- .EQN("((~D*~A)*~((~C*~B))*~(E)+(~D*~A)*(~C*~B)*~(E)+~((~D*~A))*(~C*~B)*E+(~D*~A)*(~C*~B)*E)"),
- .INIT(32'h03030055))
- _al_u1690 (
- .a(_al_u1430_o),
- .b(_al_u1519_o),
- .c(_al_u1604_o),
- .d(_al_u1689_o),
- .e(rdaddr[12]),
- .o(\FM_Dump_Data_IQ/n62 [1]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1691 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_000 ),
- .d(rdaddr[5]),
- .o(_al_u1691_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1692 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_000 ),
- .c(_al_u1691_o),
- .d(rdaddr[5]),
- .o(_al_u1692_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1693 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_000 ),
- .d(rdaddr[5]),
- .o(_al_u1693_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1694 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_000 ),
- .c(_al_u1693_o),
- .d(rdaddr[5]),
- .o(_al_u1694_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1695 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_000 ),
- .d(rdaddr[5]),
- .o(_al_u1695_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1696 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_000 ),
- .c(_al_u1695_o),
- .d(rdaddr[5]),
- .o(_al_u1696_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1697 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_000 ),
- .d(rdaddr[5]),
- .o(_al_u1697_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1698 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_000 ),
- .c(_al_u1697_o),
- .d(rdaddr[5]),
- .o(_al_u1698_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u1699 (
- .a(_al_u1696_o),
- .b(_al_u1698_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1699_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u1700 (
- .a(_al_u1692_o),
- .b(_al_u1694_o),
- .c(_al_u1699_o),
- .d(rdaddr[6]),
- .o(_al_u1700_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1701 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_000 ),
- .o(_al_u1701_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1702 (
- .a(_al_u1701_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_000 ),
- .o(_al_u1702_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1703 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_000 ),
- .o(_al_u1703_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1704 (
- .a(_al_u1703_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_000 ),
- .o(_al_u1704_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1705 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_000 ),
- .o(_al_u1705_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1706 (
- .a(_al_u1705_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_000 ),
- .o(_al_u1706_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1707 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_000 ),
- .d(rdaddr[5]),
- .o(_al_u1707_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1708 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_000 ),
- .c(_al_u1707_o),
- .d(rdaddr[5]),
- .o(_al_u1708_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~A*~(E*~D))"),
- .INIT(32'h01000101))
- _al_u1709 (
- .a(_al_u1702_o),
- .b(_al_u1704_o),
- .c(_al_u1706_o),
- .d(_al_u1708_o),
- .e(_al_u671_o),
- .o(_al_u1709_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'h050f030f))
- _al_u1710 (
- .a(_al_u1700_o),
- .b(_al_u1709_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1710_o));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'haad8))
- _al_u1711 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_000 ),
- .d(rdaddr[5]),
- .o(_al_u1711_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h350f))
- _al_u1712 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_000 ),
- .c(_al_u1711_o),
- .d(rdaddr[5]),
- .o(_al_u1712_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1713 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_000 ),
- .d(rdaddr[5]),
- .o(_al_u1713_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1714 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_000 ),
- .c(_al_u1713_o),
- .d(rdaddr[5]),
- .o(_al_u1714_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u1715 (
- .a(_al_u1712_o),
- .b(_al_u1714_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1715_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1716 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_000 ),
- .o(_al_u1716_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1717 (
- .a(_al_u1716_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_000 ),
- .o(_al_u1717_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1718 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_000 ),
- .d(rdaddr[5]),
- .o(_al_u1718_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1719 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_000 ),
- .c(_al_u1718_o),
- .d(rdaddr[5]),
- .o(_al_u1719_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~B*~A*~(D*~C))"),
- .INIT(32'h00001011))
- _al_u1720 (
- .a(_al_u1715_o),
- .b(_al_u1717_o),
- .c(_al_u1719_o),
- .d(_al_u678_o),
- .e(rdaddr[8]),
- .o(_al_u1720_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1721 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_000 ),
- .d(rdaddr[5]),
- .o(_al_u1721_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1722 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_000 ),
- .c(_al_u1721_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_6 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1723 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_000 ),
- .d(rdaddr[5]),
- .o(_al_u1723_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1724 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_000 ),
- .c(_al_u1723_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_7 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1725 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_000 ),
- .d(rdaddr[5]),
- .o(_al_u1725_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1726 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_000 ),
- .c(_al_u1725_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_4 ));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h33ff550f))
- _al_u1727 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_6 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_7 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_4 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1727_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1728 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_000 ),
- .d(rdaddr[5]),
- .o(_al_u1728_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1729 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_000 ),
- .c(_al_u1728_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_5 ));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*~C*A))"),
- .INIT(16'hc4cc))
- _al_u1730 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_5 ),
- .b(rdaddr[8]),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1730_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~E*~(~B*~(D*C))))"),
- .INIT(32'haaaa0222))
- _al_u1731 (
- .a(_al_u1710_o),
- .b(_al_u1720_o),
- .c(_al_u1727_o),
- .d(_al_u1730_o),
- .e(rdaddr[9]),
- .o(_al_u1731_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1732 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_000 ),
- .d(rdaddr[5]),
- .o(_al_u1732_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1733 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_000 ),
- .c(_al_u1732_o),
- .d(rdaddr[5]),
- .o(_al_u1733_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1734 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_000 ),
- .d(rdaddr[5]),
- .o(_al_u1734_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1735 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_000 ),
- .c(_al_u1734_o),
- .d(rdaddr[5]),
- .o(_al_u1735_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'haffc))
- _al_u1736 (
- .a(_al_u1733_o),
- .b(_al_u1735_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1736_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1737 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_000 ),
- .o(_al_u1737_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1738 (
- .a(_al_u1737_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_000 ),
- .o(_al_u1738_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1739 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_000 ),
- .d(rdaddr[5]),
- .o(_al_u1739_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1740 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_000 ),
- .c(_al_u1739_o),
- .d(rdaddr[5]),
- .o(_al_u1740_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~B*A*~(D*~C)))"),
- .INIT(32'hdfdd0000))
- _al_u1741 (
- .a(_al_u1736_o),
- .b(_al_u1738_o),
- .c(_al_u1740_o),
- .d(_al_u671_o),
- .e(rdaddr[8]),
- .o(_al_u1741_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1742 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_000 ),
- .o(_al_u1742_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1743 (
- .a(_al_u1742_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_000 ),
- .o(_al_u1743_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1744 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_000 ),
- .d(rdaddr[5]),
- .o(_al_u1744_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1745 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_000 ),
- .c(_al_u1744_o),
- .d(rdaddr[5]),
- .o(_al_u1745_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*~B))"),
- .INIT(8'h45))
- _al_u1746 (
- .a(_al_u1743_o),
- .b(_al_u1745_o),
- .c(_al_u674_o),
- .o(_al_u1746_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1747 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_000 ),
- .o(_al_u1747_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1748 (
- .a(_al_u1747_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_000 ),
- .o(_al_u1748_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1749 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_000 ),
- .d(rdaddr[5]),
- .o(_al_u1749_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1750 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_000 ),
- .c(_al_u1749_o),
- .d(rdaddr[5]),
- .o(_al_u1750_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~B*A*~(D*~C)))"),
- .INIT(32'h0000dfdd))
- _al_u1751 (
- .a(_al_u1746_o),
- .b(_al_u1748_o),
- .c(_al_u1750_o),
- .d(_al_u668_o),
- .e(rdaddr[8]),
- .o(_al_u1751_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~D*~B*~A))"),
- .INIT(16'hf0e0))
- _al_u1752 (
- .a(_al_u1741_o),
- .b(_al_u1751_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .o(_al_u1752_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1753 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_000 ),
- .d(rdaddr[5]),
- .o(_al_u1753_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1754 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_000 ),
- .c(_al_u1753_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_27 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1755 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_000 ),
- .d(rdaddr[5]),
- .o(_al_u1755_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1756 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_000 ),
- .c(_al_u1755_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_26 ));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*~A))"),
- .INIT(16'h8acf))
- _al_u1757 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_27 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_26 ),
- .c(_al_u671_o),
- .d(_al_u674_o),
- .o(_al_u1757_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1758 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_000 ),
- .d(rdaddr[5]),
- .o(_al_u1758_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1759 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_000 ),
- .c(_al_u1758_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_24 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1760 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_000 ),
- .d(rdaddr[5]),
- .o(_al_u1760_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1761 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_000 ),
- .c(_al_u1760_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_25 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0305))
- _al_u1762 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_24 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_25 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1762_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~D*~B*A))"),
- .INIT(16'hf0d0))
- _al_u1763 (
- .a(_al_u1757_o),
- .b(_al_u1762_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u1763_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1764 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_000 ),
- .d(rdaddr[5]),
- .o(_al_u1764_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1765 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_000 ),
- .c(_al_u1764_o),
- .d(rdaddr[5]),
- .o(_al_u1765_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1766 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_000 ),
- .d(rdaddr[5]),
- .o(_al_u1766_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1767 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_000 ),
- .c(_al_u1766_o),
- .d(rdaddr[5]),
- .o(_al_u1767_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*~A))"),
- .INIT(16'h8acf))
- _al_u1768 (
- .a(_al_u1765_o),
- .b(_al_u1767_o),
- .c(_al_u671_o),
- .d(_al_u668_o),
- .o(_al_u1768_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1769 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_000 ),
- .o(_al_u1769_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1770 (
- .a(_al_u1769_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_000 ),
- .o(_al_u1770_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1771 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_000 ),
- .d(rdaddr[5]),
- .o(_al_u1771_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1772 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_000 ),
- .c(_al_u1771_o),
- .d(rdaddr[5]),
- .o(_al_u1772_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~B*A*~(D*~C)))"),
- .INIT(32'hdfdd0000))
- _al_u1773 (
- .a(_al_u1768_o),
- .b(_al_u1770_o),
- .c(_al_u1772_o),
- .d(_al_u674_o),
- .e(rdaddr[8]),
- .o(_al_u1773_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~A*~(B*~(~D*C)))"),
- .INIT(32'h00001151))
- _al_u1774 (
- .a(_al_u1731_o),
- .b(_al_u1752_o),
- .c(_al_u1763_o),
- .d(_al_u1773_o),
- .e(rdaddr[11]),
- .o(_al_u1774_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u1775 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_000 ),
- .o(_al_u1775_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u1776 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_000 ),
- .o(_al_u1776_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~D*~B*~A))"),
- .INIT(16'hf0e0))
- _al_u1777 (
- .a(_al_u1775_o),
- .b(_al_u1776_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1777_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u1778 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_000 ),
- .o(_al_u1778_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u1779 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_000 ),
- .o(_al_u1779_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(D*~B*~A))"),
- .INIT(16'h0e0f))
- _al_u1780 (
- .a(_al_u1778_o),
- .b(_al_u1779_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1780_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1781 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_000 ),
- .o(_al_u1781_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1782 (
- .a(_al_u1781_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_000 ),
- .o(_al_u1782_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1783 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_000 ),
- .o(_al_u1783_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1784 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_000 ),
- .o(_al_u1784_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0305))
- _al_u1785 (
- .a(_al_u1783_o),
- .b(_al_u1784_o),
- .c(rdaddr[6]),
- .d(rdaddr[5]),
- .o(_al_u1785_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~D*B)*~(~C*A))"),
- .INIT(32'hf5310000))
- _al_u1786 (
- .a(_al_u1777_o),
- .b(_al_u1780_o),
- .c(_al_u1782_o),
- .d(_al_u1785_o),
- .e(rdaddr[8]),
- .o(_al_u1786_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1787 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_000 ),
- .o(_al_u1787_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u1788 (
- .a(_al_u1787_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_000 ),
- .o(_al_u1788_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1789 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_000 ),
- .d(rdaddr[5]),
- .o(_al_u1789_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1790 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_000 ),
- .c(_al_u1789_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_35 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(D*B))"),
- .INIT(16'h1050))
- _al_u1791 (
- .a(_al_u1788_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_35 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1791_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1792 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_000 ),
- .d(rdaddr[5]),
- .o(_al_u1792_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1793 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_000 ),
- .c(_al_u1792_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_32 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1794 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_000 ),
- .d(rdaddr[5]),
- .o(_al_u1794_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1795 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_000 ),
- .c(_al_u1794_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_33 ));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(~D*~(A*~(B)*~(E)+A*B*~(E)+~(A)*B*E+A*B*E)))"),
- .INIT(32'h0f0c0f0a))
- _al_u1796 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_32 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_33 ),
- .c(rdaddr[8]),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1796_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*~B))"),
- .INIT(8'h45))
- _al_u1797 (
- .a(_al_u1786_o),
- .b(_al_u1791_o),
- .c(_al_u1796_o),
- .o(_al_u1797_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1798 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_000 ),
- .d(rdaddr[5]),
- .o(_al_u1798_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1799 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_000 ),
- .c(_al_u1798_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_46 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1800 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_000 ),
- .d(rdaddr[5]),
- .o(_al_u1800_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1801 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_000 ),
- .c(_al_u1800_o),
- .d(rdaddr[5]),
- .o(_al_u1801_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(B)*~(C)+~A*B*~(C)+~(~A)*B*C+~A*B*C)"),
- .INIT(8'hc5))
- _al_u1802 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_46 ),
- .b(_al_u1801_o),
- .c(rdaddr[6]),
- .o(_al_u1802_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1803 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_000 ),
- .d(rdaddr[5]),
- .o(_al_u1803_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1804 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_000 ),
- .c(_al_u1803_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_45 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1805 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_45 ),
- .b(rdaddr[6]),
- .o(_al_u1805_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u1806 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_000 ),
- .o(_al_u1806_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u1807 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_000 ),
- .o(_al_u1807_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~B*~A))"),
- .INIT(16'h0f0e))
- _al_u1808 (
- .a(_al_u1806_o),
- .b(_al_u1807_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1808_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(C*~B)*~(E*A))"),
- .INIT(32'h4500cf00))
- _al_u1809 (
- .a(_al_u1802_o),
- .b(_al_u1805_o),
- .c(_al_u1808_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1809_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1810 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_000 ),
- .d(rdaddr[5]),
- .o(_al_u1810_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1811 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_000 ),
- .c(_al_u1810_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_42 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1812 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_000 ),
- .d(rdaddr[5]),
- .o(_al_u1812_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1813 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_000 ),
- .c(_al_u1812_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_43 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u1814 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_42 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_43 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1814_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1815 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_000 ),
- .o(_al_u1815_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h4044484c))
- _al_u1816 (
- .a(_al_u1815_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_000 ),
- .o(_al_u1816_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1817 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_000 ),
- .o(_al_u1817_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h4044484c))
- _al_u1818 (
- .a(_al_u1817_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_000 ),
- .o(_al_u1818_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u1819 (
- .a(_al_u1814_o),
- .b(_al_u1816_o),
- .c(_al_u1818_o),
- .d(rdaddr[8]),
- .o(_al_u1819_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'h00fc0055))
- _al_u1820 (
- .a(_al_u1797_o),
- .b(_al_u1809_o),
- .c(_al_u1819_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u1820_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1821 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_000 ),
- .d(rdaddr[5]),
- .o(_al_u1821_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1822 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_000 ),
- .c(_al_u1821_o),
- .d(rdaddr[5]),
- .o(_al_u1822_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1823 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_000 ),
- .d(rdaddr[5]),
- .o(_al_u1823_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1824 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_000 ),
- .c(_al_u1823_o),
- .d(rdaddr[5]),
- .o(_al_u1824_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
- .INIT(16'h3500))
- _al_u1825 (
- .a(_al_u1822_o),
- .b(_al_u1824_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1825_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1826 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_000 ),
- .o(_al_u1826_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1827 (
- .a(_al_u1826_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_000 ),
- .o(_al_u1827_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1828 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_000 ),
- .o(_al_u1828_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1829 (
- .a(_al_u1828_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_000 ),
- .o(_al_u1829_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~C*~B*~A))"),
- .INIT(16'hfe00))
- _al_u1830 (
- .a(_al_u1825_o),
- .b(_al_u1827_o),
- .c(_al_u1829_o),
- .d(rdaddr[8]),
- .o(_al_u1830_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1831 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_000 ),
- .d(rdaddr[6]),
- .o(_al_u1831_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1832 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_000 ),
- .c(_al_u1831_o),
- .d(rdaddr[6]),
- .o(_al_u1832_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1833 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_000 ),
- .d(rdaddr[6]),
- .o(_al_u1833_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1834 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_000 ),
- .c(_al_u1833_o),
- .d(rdaddr[6]),
- .o(_al_u1834_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u1835 (
- .a(_al_u1832_o),
- .b(_al_u1834_o),
- .c(rdaddr[7]),
- .d(rdaddr[4]),
- .o(_al_u1835_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1836 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_000 ),
- .d(rdaddr[5]),
- .o(_al_u1836_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1837 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_000 ),
- .c(_al_u1836_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_56 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1838 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_000 ),
- .d(rdaddr[5]),
- .o(_al_u1838_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1839 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_000 ),
- .c(_al_u1838_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_57 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0305))
- _al_u1840 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_56 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_57 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1840_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~A*~(~E*~C*~B))"),
- .INIT(32'h55005400))
- _al_u1841 (
- .a(_al_u1830_o),
- .b(_al_u1835_o),
- .c(_al_u1840_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1841_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1842 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_000 ),
- .d(rdaddr[5]),
- .o(_al_u1842_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1843 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_000 ),
- .c(_al_u1842_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_53 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1844 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_000 ),
- .d(rdaddr[5]),
- .o(_al_u1844_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1845 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_000 ),
- .c(_al_u1844_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_52 ));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'h53))
- _al_u1846 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_53 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_52 ),
- .c(rdaddr[6]),
- .o(_al_u1846_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1847 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_000 ),
- .d(rdaddr[5]),
- .o(_al_u1847_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1848 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_000 ),
- .c(_al_u1847_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_55 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1849 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_55 ),
- .b(rdaddr[6]),
- .o(_al_u1849_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1850 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_000 ),
- .o(_al_u1850_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u1851 (
- .a(_al_u1850_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_000 ),
- .o(_al_u1851_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'hfc005500))
- _al_u1852 (
- .a(_al_u1846_o),
- .b(_al_u1849_o),
- .c(_al_u1851_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1852_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1853 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_000 ),
- .d(rdaddr[5]),
- .o(_al_u1853_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1854 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_000 ),
- .c(_al_u1853_o),
- .d(rdaddr[5]),
- .o(_al_u1854_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1855 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_000 ),
- .d(rdaddr[5]),
- .o(_al_u1855_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1856 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_000 ),
- .c(_al_u1855_o),
- .d(rdaddr[5]),
- .o(_al_u1856_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
- .INIT(16'h3500))
- _al_u1857 (
- .a(_al_u1854_o),
- .b(_al_u1856_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1857_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1858 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_000 ),
- .d(rdaddr[5]),
- .o(_al_u1858_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1859 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_000 ),
- .c(_al_u1858_o),
- .d(rdaddr[5]),
- .o(_al_u1859_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1860 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_000 ),
- .d(rdaddr[5]),
- .o(_al_u1860_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1861 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_000 ),
- .c(_al_u1860_o),
- .d(rdaddr[5]),
- .o(_al_u1861_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
- .INIT(16'h0053))
- _al_u1862 (
- .a(_al_u1859_o),
- .b(_al_u1861_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1862_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(~E*~(~C*~B)))"),
- .INIT(32'h00550001))
- _al_u1863 (
- .a(_al_u1852_o),
- .b(_al_u1857_o),
- .c(_al_u1862_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1863_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~A*~(E*~C*~B))"),
- .INIT(32'h54005500))
- _al_u1864 (
- .a(_al_u1820_o),
- .b(_al_u1841_o),
- .c(_al_u1863_o),
- .d(rdaddr[11]),
- .e(rdaddr[10]),
- .o(_al_u1864_o));
- AL_MAP_LUT4 #(
- .EQN("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hc840))
- _al_u1865 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_000 ),
- .o(_al_u1865_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h3210))
- _al_u1866 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_000 ),
- .o(_al_u1866_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~B*~A))"),
- .INIT(16'h0f0e))
- _al_u1867 (
- .a(_al_u1865_o),
- .b(_al_u1866_o),
- .c(rdaddr[8]),
- .d(rdaddr[6]),
- .o(_al_u1867_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h3210))
- _al_u1868 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_000 ),
- .o(_al_u1868_o));
- AL_MAP_LUT4 #(
- .EQN("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hc840))
- _al_u1869 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_000 ),
- .o(_al_u1869_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~C*~B))"),
- .INIT(16'ha8aa))
- _al_u1870 (
- .a(_al_u1867_o),
- .b(_al_u1868_o),
- .c(_al_u1869_o),
- .d(rdaddr[6]),
- .o(_al_u1870_o));
- AL_MAP_LUT4 #(
- .EQN("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hc840))
- _al_u1871 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_000 ),
- .o(_al_u1871_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h3210))
- _al_u1872 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_000 ),
- .o(_al_u1872_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~B*~A))"),
- .INIT(16'h0f0e))
- _al_u1873 (
- .a(_al_u1871_o),
- .b(_al_u1872_o),
- .c(rdaddr[8]),
- .d(rdaddr[6]),
- .o(_al_u1873_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h3210))
- _al_u1874 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_000 ),
- .o(_al_u1874_o));
- AL_MAP_LUT4 #(
- .EQN("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hc840))
- _al_u1875 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_000 ),
- .o(_al_u1875_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~C*~B))"),
- .INIT(16'ha8aa))
- _al_u1876 (
- .a(_al_u1873_o),
- .b(_al_u1874_o),
- .c(_al_u1875_o),
- .d(rdaddr[6]),
- .o(_al_u1876_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1877 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_000 ),
- .d(rdaddr[5]),
- .o(_al_u1877_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1878 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_000 ),
- .c(_al_u1877_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_100 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1879 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_000 ),
- .d(rdaddr[5]),
- .o(_al_u1879_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1880 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_000 ),
- .c(_al_u1879_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_101 ));
- AL_MAP_LUT4 #(
- .EQN("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'hc0a0))
- _al_u1881 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_100 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_101 ),
- .c(rdaddr[8]),
- .d(rdaddr[6]),
- .o(_al_u1881_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1882 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_000 ),
- .d(rdaddr[5]),
- .o(_al_u1882_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1883 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_000 ),
- .c(_al_u1882_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_102 ));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u1884 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_000 ),
- .o(_al_u1884_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u1885 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_000 ),
- .o(_al_u1885_o));
- AL_MAP_LUT5 #(
- .EQN("(D*(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'h0300aa00))
- _al_u1886 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_102 ),
- .b(_al_u1884_o),
- .c(_al_u1885_o),
- .d(rdaddr[8]),
- .e(rdaddr[6]),
- .o(_al_u1886_o));
- AL_MAP_LUT5 #(
- .EQN("~((~C*~B)*~((~D*~A))*~(E)+(~C*~B)*(~D*~A)*~(E)+~((~C*~B))*(~D*~A)*E+(~C*~B)*(~D*~A)*E)"),
- .INIT(32'hffaafcfc))
- _al_u1887 (
- .a(_al_u1870_o),
- .b(_al_u1876_o),
- .c(_al_u1881_o),
- .d(_al_u1886_o),
- .e(rdaddr[7]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B4_12 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1888 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_000 ),
- .o(_al_u1888_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1889 (
- .a(_al_u1888_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_000 ),
- .o(_al_u1889_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1890 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_000 ),
- .d(rdaddr[5]),
- .o(_al_u1890_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1891 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_000 ),
- .c(_al_u1890_o),
- .d(rdaddr[5]),
- .o(_al_u1891_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1892 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_000 ),
- .d(rdaddr[5]),
- .o(_al_u1892_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1893 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_000 ),
- .c(_al_u1892_o),
- .d(rdaddr[5]),
- .o(_al_u1893_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*(~(B)*~(C)*~(D)*~(E)+B*~(C)*~(D)*~(E)+~(B)*C*~(D)*~(E)+B*C*~(D)*~(E)+B*~(C)*D*~(E)+B*C*D*~(E)+~(B)*C*~(D)*E+B*C*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E+B*C*D*E))"),
- .INIT(32'h55504455))
- _al_u1894 (
- .a(_al_u1889_o),
- .b(_al_u1891_o),
- .c(_al_u1893_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1894_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1895 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_000 ),
- .d(rdaddr[5]),
- .o(_al_u1895_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1896 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_000 ),
- .c(_al_u1895_o),
- .d(rdaddr[5]),
- .o(_al_u1896_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*A*~(C*~B))"),
- .INIT(16'h008a))
- _al_u1897 (
- .a(_al_u1894_o),
- .b(_al_u1896_o),
- .c(_al_u674_o),
- .d(rdaddr[8]),
- .o(_al_u1897_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1898 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_000 ),
- .d(rdaddr[5]),
- .o(_al_u1898_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1899 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_000 ),
- .c(_al_u1898_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_110 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1900 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_000 ),
- .d(rdaddr[5]),
- .o(_al_u1900_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1901 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_000 ),
- .c(_al_u1900_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_111 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h3f50))
- _al_u1902 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_110 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_111 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1902_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1903 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_000 ),
- .d(rdaddr[5]),
- .o(_al_u1903_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1904 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_000 ),
- .c(_al_u1903_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_108 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1905 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_000 ),
- .d(rdaddr[5]),
- .o(_al_u1905_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1906 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_000 ),
- .c(_al_u1905_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_109 ));
- AL_MAP_LUT5 #(
- .EQN("(D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+A*~(B)*~(C)*E+A*B*~(C)*E+A*~(B)*C*E+A*B*C*E))"),
- .INIT(32'haa001b00))
- _al_u1907 (
- .a(_al_u1902_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_108 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_109 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1907_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'h000300aa))
- _al_u1908 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B4_12 ),
- .b(_al_u1897_o),
- .c(_al_u1907_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u1908_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1909 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_000 ),
- .d(rdaddr[5]),
- .o(_al_u1909_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1910 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_000 ),
- .c(_al_u1909_o),
- .d(rdaddr[5]),
- .o(_al_u1910_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1911 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_000 ),
- .d(rdaddr[5]),
- .o(_al_u1911_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1912 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_000 ),
- .c(_al_u1911_o),
- .d(rdaddr[5]),
- .o(_al_u1912_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1913 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_000 ),
- .d(rdaddr[5]),
- .o(_al_u1913_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1914 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_000 ),
- .c(_al_u1913_o),
- .d(rdaddr[5]),
- .o(_al_u1914_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+A*~(B)*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hf0ffaacc))
- _al_u1915 (
- .a(_al_u1910_o),
- .b(_al_u1912_o),
- .c(_al_u1914_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1915_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1916 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_000 ),
- .d(rdaddr[5]),
- .o(_al_u1916_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1917 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_000 ),
- .c(_al_u1916_o),
- .d(rdaddr[5]),
- .o(_al_u1917_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(A*~(C*~B)))"),
- .INIT(16'h7500))
- _al_u1918 (
- .a(_al_u1915_o),
- .b(_al_u1917_o),
- .c(_al_u668_o),
- .d(rdaddr[8]),
- .o(_al_u1918_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1919 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_000 ),
- .o(_al_u1919_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1920 (
- .a(_al_u1919_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_000 ),
- .o(_al_u1920_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1921 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_000 ),
- .d(rdaddr[5]),
- .o(_al_u1921_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1922 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_000 ),
- .c(_al_u1921_o),
- .d(rdaddr[5]),
- .o(_al_u1922_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*~B))"),
- .INIT(8'h45))
- _al_u1923 (
- .a(_al_u1920_o),
- .b(_al_u1922_o),
- .c(_al_u671_o),
- .o(_al_u1923_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1924 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_000 ),
- .o(_al_u1924_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1925 (
- .a(_al_u1924_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_000 ),
- .o(_al_u1925_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1926 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_000 ),
- .d(rdaddr[5]),
- .o(_al_u1926_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1927 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_000 ),
- .c(_al_u1926_o),
- .d(rdaddr[5]),
- .o(_al_u1927_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~B*A*~(D*~C)))"),
- .INIT(32'h0000dfdd))
- _al_u1928 (
- .a(_al_u1923_o),
- .b(_al_u1925_o),
- .c(_al_u1927_o),
- .d(_al_u674_o),
- .e(rdaddr[8]),
- .o(_al_u1928_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~D*~B*~A))"),
- .INIT(16'hf0e0))
- _al_u1929 (
- .a(_al_u1918_o),
- .b(_al_u1928_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .o(_al_u1929_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1930 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_000 ),
- .d(rdaddr[5]),
- .o(_al_u1930_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1931 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_000 ),
- .c(_al_u1930_o),
- .d(rdaddr[5]),
- .o(_al_u1931_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1932 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_000 ),
- .d(rdaddr[5]),
- .o(_al_u1932_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1933 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_000 ),
- .c(_al_u1932_o),
- .d(rdaddr[5]),
- .o(_al_u1933_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1934 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_000 ),
- .d(rdaddr[5]),
- .o(_al_u1934_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1935 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_000 ),
- .c(_al_u1934_o),
- .d(rdaddr[5]),
- .o(_al_u1935_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hffaaccf0))
- _al_u1936 (
- .a(_al_u1931_o),
- .b(_al_u1933_o),
- .c(_al_u1935_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1936_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1937 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_000 ),
- .d(rdaddr[5]),
- .o(_al_u1937_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1938 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_000 ),
- .c(_al_u1937_o),
- .d(rdaddr[5]),
- .o(_al_u1938_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(A*~(C*~B))))"),
- .INIT(32'h8a00ff00))
- _al_u1939 (
- .a(_al_u1936_o),
- .b(_al_u1938_o),
- .c(_al_u674_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u1939_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1940 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_000 ),
- .d(rdaddr[5]),
- .o(_al_u1940_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1941 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_000 ),
- .c(_al_u1940_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_122 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1942 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_000 ),
- .d(rdaddr[5]),
- .o(_al_u1942_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1943 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_000 ),
- .c(_al_u1942_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_123 ));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*~A))"),
- .INIT(16'h8caf))
- _al_u1944 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_122 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_123 ),
- .c(_al_u671_o),
- .d(_al_u674_o),
- .o(_al_u1944_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1945 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_000 ),
- .o(_al_u1945_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h4044484c))
- _al_u1946 (
- .a(_al_u1945_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_000 ),
- .o(_al_u1946_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1947 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_000 ),
- .o(_al_u1947_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h4044484c))
- _al_u1948 (
- .a(_al_u1947_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_000 ),
- .o(_al_u1948_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u1949 (
- .a(_al_u1944_o),
- .b(_al_u1946_o),
- .c(_al_u1948_o),
- .d(rdaddr[8]),
- .o(_al_u1949_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~A*~(B*~(~D*C)))"),
- .INIT(32'h11510000))
- _al_u1950 (
- .a(_al_u1908_o),
- .b(_al_u1929_o),
- .c(_al_u1939_o),
- .d(_al_u1949_o),
- .e(rdaddr[11]),
- .o(_al_u1950_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1951 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_000 ),
- .d(rdaddr[5]),
- .o(_al_u1951_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1952 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_000 ),
- .c(_al_u1951_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_94 ));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u1953 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_000 ),
- .o(_al_u1953_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u1954 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_000 ),
- .o(_al_u1954_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~((~C*~B))*D*~(E)+~(A)*(~C*~B)*D*~(E)+~(A)*~((~C*~B))*~(D)*E+A*~((~C*~B))*~(D)*E+~(A)*(~C*~B)*~(D)*E+A*(~C*~B)*~(D)*E+~(A)*~((~C*~B))*D*E+A*~((~C*~B))*D*E)"),
- .INIT(32'hfcff5500))
- _al_u1955 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_94 ),
- .b(_al_u1953_o),
- .c(_al_u1954_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1955_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1956 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_000 ),
- .d(rdaddr[5]),
- .o(_al_u1956_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1957 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_000 ),
- .c(_al_u1956_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_92 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1958 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_000 ),
- .d(rdaddr[5]),
- .o(_al_u1958_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1959 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_000 ),
- .c(_al_u1958_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_93 ));
- AL_MAP_LUT5 #(
- .EQN("(D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+A*~(B)*~(C)*E+A*B*~(C)*E+A*~(B)*C*E+A*B*C*E))"),
- .INIT(32'haa001b00))
- _al_u1960 (
- .a(_al_u1955_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_92 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_93 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1960_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1961 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_000 ),
- .d(rdaddr[5]),
- .o(_al_u1961_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1962 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_000 ),
- .c(_al_u1961_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_88 ));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1963 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_88 ),
- .b(rdaddr[6]),
- .o(_al_u1963_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u1964 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_000 ),
- .o(_al_u1964_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u1965 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_000 ),
- .o(_al_u1965_o));
- AL_MAP_LUT4 #(
- .EQN("(~((~B*~A))*~(C)*~(D)+(~B*~A)*~(C)*~(D)+~((~B*~A))*~(C)*D+~((~B*~A))*C*D+(~B*~A)*C*D)"),
- .INIT(16'hfe0f))
- _al_u1966 (
- .a(_al_u1964_o),
- .b(_al_u1965_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1966_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1967 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_000 ),
- .o(_al_u1967_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1968 (
- .a(_al_u1967_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_000 ),
- .o(_al_u1968_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1969 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_000 ),
- .o(_al_u1969_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h4044484c))
- _al_u1970 (
- .a(_al_u1969_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_000 ),
- .o(_al_u1970_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~D*~(C)*~((B*~A))+~D*C*~((B*~A))+~(~D)*C*(B*~A)+~D*C*(B*~A)))"),
- .INIT(32'h0000bf04))
- _al_u1971 (
- .a(_al_u1963_o),
- .b(_al_u1966_o),
- .c(_al_u1968_o),
- .d(_al_u1970_o),
- .e(rdaddr[8]),
- .o(_al_u1971_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1972 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_000 ),
- .d(rdaddr[5]),
- .o(_al_u1972_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1973 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_000 ),
- .c(_al_u1972_o),
- .d(rdaddr[5]),
- .o(_al_u1973_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h3210))
- _al_u1974 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_000 ),
- .o(_al_u1974_o));
- AL_MAP_LUT4 #(
- .EQN("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hc840))
- _al_u1975 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_000 ),
- .o(_al_u1975_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~((~C*~B))*~(D)*~(E)+A*~((~C*~B))*~(D)*~(E)+~(A)*~((~C*~B))*D*~(E)+A*~((~C*~B))*D*~(E)+~(A)*(~C*~B)*D*~(E)+A*(~C*~B)*D*~(E)+~(A)*~((~C*~B))*~(D)*E+~(A)*(~C*~B)*~(D)*E)"),
- .INIT(32'h0055fffc))
- _al_u1976 (
- .a(_al_u1973_o),
- .b(_al_u1974_o),
- .c(_al_u1975_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u1976_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1977 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_000 ),
- .d(rdaddr[5]),
- .o(_al_u1977_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1978 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_000 ),
- .c(_al_u1977_o),
- .d(rdaddr[5]),
- .o(_al_u1978_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1979 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_000 ),
- .d(rdaddr[5]),
- .o(_al_u1979_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1980 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_000 ),
- .c(_al_u1979_o),
- .d(rdaddr[5]),
- .o(_al_u1980_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*~(C)*E+~(A)*~(B)*C*E+~(A)*B*C*E+A*B*C*E))"),
- .INIT(32'h00d80055))
- _al_u1981 (
- .a(_al_u1976_o),
- .b(_al_u1978_o),
- .c(_al_u1980_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u1981_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1982 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_000 ),
- .o(_al_u1982_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1983 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_000 ),
- .o(_al_u1983_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(C*~(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'haf00cf00))
- _al_u1984 (
- .a(_al_u1982_o),
- .b(_al_u1983_o),
- .c(_al_u674_o),
- .d(rdaddr[8]),
- .e(rdaddr[4]),
- .o(_al_u1984_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1985 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_000 ),
- .o(_al_u1985_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1986 (
- .a(_al_u1985_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_000 ),
- .o(_al_u1986_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1987 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_000 ),
- .o(_al_u1987_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1988 (
- .a(_al_u1987_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_000 ),
- .o(_al_u1988_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1989 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_000 ),
- .d(rdaddr[5]),
- .o(_al_u1989_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u1990 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_000 ),
- .c(_al_u1989_o),
- .d(rdaddr[5]),
- .o(_al_u1990_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u1991 (
- .a(_al_u1984_o),
- .b(_al_u1986_o),
- .c(_al_u1988_o),
- .d(_al_u1990_o),
- .e(_al_u671_o),
- .o(_al_u1991_o));
- AL_MAP_LUT5 #(
- .EQN("~((~D*~C)*~((~B*~A))*~(E)+(~D*~C)*(~B*~A)*~(E)+~((~D*~C))*(~B*~A)*E+(~D*~C)*(~B*~A)*E)"),
- .INIT(32'heeeefff0))
- _al_u1992 (
- .a(_al_u1960_o),
- .b(_al_u1971_o),
- .c(_al_u1981_o),
- .d(_al_u1991_o),
- .e(rdaddr[9]),
- .o(_al_u1992_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1993 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_000 ),
- .o(_al_u1993_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u1994 (
- .a(_al_u1993_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_000 ),
- .o(_al_u1994_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u1995 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_000 ),
- .d(rdaddr[5]),
- .o(_al_u1995_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u1996 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_000 ),
- .c(_al_u1995_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_78 ));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*~(C*~B)))"),
- .INIT(16'h5510))
- _al_u1997 (
- .a(_al_u1994_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_78 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u1997_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u1998 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_000 ),
- .o(_al_u1998_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u1999 (
- .a(_al_u1998_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_000 ),
- .o(_al_u1999_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2000 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_000 ),
- .d(rdaddr[5]),
- .o(_al_u2000_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2001 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_000 ),
- .c(_al_u2000_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_76 ));
- AL_MAP_LUT5 #(
- .EQN("(D*(~(~E*~C)*~(B)*~(A)+~(~E*~C)*B*~(A)+~(~(~E*~C))*B*A+~(~E*~C)*B*A))"),
- .INIT(32'hdd00d800))
- _al_u2002 (
- .a(_al_u1997_o),
- .b(_al_u1999_o),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_76 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u2002_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2003 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_000 ),
- .d(rdaddr[5]),
- .o(_al_u2003_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2004 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_000 ),
- .c(_al_u2003_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_72 ));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u2005 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_000 ),
- .o(_al_u2005_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u2006 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_000 ),
- .o(_al_u2006_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~((~C*~B))*~(D)*~(E)+~(A)*(~C*~B)*~(D)*~(E)+~(A)*~((~C*~B))*~(D)*E+A*~((~C*~B))*~(D)*E+~(A)*~((~C*~B))*D*E+A*~((~C*~B))*D*E+~(A)*(~C*~B)*D*E+A*(~C*~B)*D*E)"),
- .INIT(32'hfffc0055))
- _al_u2007 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_72 ),
- .b(_al_u2005_o),
- .c(_al_u2006_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2007_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2008 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_000 ),
- .d(rdaddr[5]),
- .o(_al_u2008_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2009 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_000 ),
- .c(_al_u2008_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_74 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2010 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_000 ),
- .d(rdaddr[5]),
- .o(_al_u2010_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2011 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_000 ),
- .c(_al_u2010_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_75 ));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+~(A)*B*~(C)*E+A*~(B)*C*E+~(A)*B*C*E+A*B*C*E))"),
- .INIT(32'h00e40055))
- _al_u2012 (
- .a(_al_u2007_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_74 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_75 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u2012_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(D*~B*~A))"),
- .INIT(16'h0e0f))
- _al_u2013 (
- .a(_al_u2002_o),
- .b(_al_u2012_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .o(_al_u2013_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2014 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_000 ),
- .d(rdaddr[5]),
- .o(_al_u2014_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2015 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_000 ),
- .c(_al_u2014_o),
- .d(rdaddr[5]),
- .o(_al_u2015_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2016 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_000 ),
- .d(rdaddr[5]),
- .o(_al_u2016_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2017 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_000 ),
- .c(_al_u2016_o),
- .d(rdaddr[5]),
- .o(_al_u2017_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2018 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_000 ),
- .d(rdaddr[5]),
- .o(_al_u2018_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2019 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_000 ),
- .c(_al_u2018_o),
- .d(rdaddr[5]),
- .o(_al_u2019_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2020 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_000 ),
- .d(rdaddr[5]),
- .o(_al_u2020_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2021 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_000 ),
- .c(_al_u2020_o),
- .d(rdaddr[5]),
- .o(_al_u2021_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u2022 (
- .a(_al_u2019_o),
- .b(_al_u2021_o),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u2022_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u2023 (
- .a(_al_u2015_o),
- .b(_al_u2017_o),
- .c(_al_u2022_o),
- .d(rdaddr[7]),
- .o(_al_u2023_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u2024 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_000 ),
- .o(_al_u2024_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u2025 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_000 ),
- .o(_al_u2025_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0c0a))
- _al_u2026 (
- .a(_al_u2024_o),
- .b(_al_u2025_o),
- .c(rdaddr[6]),
- .d(rdaddr[4]),
- .o(_al_u2026_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2027 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_000 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_000 ),
- .o(_al_u2027_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2028 (
- .a(_al_u2027_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_000 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_000 ),
- .o(_al_u2028_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2029 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_000 ),
- .d(rdaddr[5]),
- .o(_al_u2029_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2030 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_000 ),
- .c(_al_u2029_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_67 ));
- AL_MAP_LUT5 #(
- .EQN("(~B*~A*~(D*~(E*~C)))"),
- .INIT(32'h01110011))
- _al_u2031 (
- .a(_al_u2026_o),
- .b(_al_u2028_o),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_67 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2031_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2032 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_000 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_000 ),
- .d(rdaddr[5]),
- .o(_al_u2032_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2033 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_000 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_000 ),
- .c(_al_u2032_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_66 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u2034 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_66 ),
- .b(rdaddr[7]),
- .c(rdaddr[6]),
- .o(_al_u2034_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(~C*~B)*~(A)*~(E)+~(~C*~B)*A*~(E)+~(~(~C*~B))*A*E+~(~C*~B)*A*E))"),
- .INIT(32'h00aa00fc))
- _al_u2035 (
- .a(_al_u2023_o),
- .b(_al_u2031_o),
- .c(_al_u2034_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2035_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(~C*B)*~(E*~A))"),
- .INIT(32'h00a200f3))
- _al_u2036 (
- .a(_al_u1992_o),
- .b(_al_u2013_o),
- .c(_al_u2035_o),
- .d(rdaddr[11]),
- .e(rdaddr[10]),
- .o(_al_u2036_o));
- AL_MAP_LUT5 #(
- .EQN("((~B*~A)*~((~D*~C))*~(E)+(~B*~A)*(~D*~C)*~(E)+~((~B*~A))*(~D*~C)*E+(~B*~A)*(~D*~C)*E)"),
- .INIT(32'h000f1111))
- _al_u2037 (
- .a(_al_u1774_o),
- .b(_al_u1864_o),
- .c(_al_u1950_o),
- .d(_al_u2036_o),
- .e(rdaddr[12]),
- .o(\FM_Dump_Data_IQ/n62 [0]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2038 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_007 ),
- .d(rdaddr[5]),
- .o(_al_u2038_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2039 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_007 ),
- .c(_al_u2038_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_68 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2040 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_007 ),
- .d(rdaddr[5]),
- .o(_al_u2040_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2041 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_007 ),
- .c(_al_u2040_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_71 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2042 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_007 ),
- .d(rdaddr[5]),
- .o(_al_u2042_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2043 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_007 ),
- .c(_al_u2042_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_70 ));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h33ff0f55))
- _al_u2044 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_68 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_71 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_70 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2044_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2045 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_007 ),
- .o(_al_u2045_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2046 (
- .a(_al_u2045_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_007 ),
- .o(_al_u2046_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2047 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_007 ),
- .d(rdaddr[5]),
- .o(_al_u2047_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2048 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_007 ),
- .c(_al_u2047_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_64 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2049 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_007 ),
- .d(rdaddr[5]),
- .o(_al_u2049_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2050 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_007 ),
- .c(_al_u2049_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_66 ));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'ha0aaf0ee))
- _al_u2051 (
- .a(_al_u2046_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_64 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_66 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2051_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2052 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_007 ),
- .d(rdaddr[5]),
- .o(_al_u2052_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2053 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_007 ),
- .c(_al_u2052_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_69 ));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u2054 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_69 ),
- .b(rdaddr[7]),
- .c(rdaddr[6]),
- .o(_al_u2054_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2055 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_007 ),
- .d(rdaddr[5]),
- .o(_al_u2055_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2056 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_007 ),
- .c(_al_u2055_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_67 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2057 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_67 ),
- .b(rdaddr[7]),
- .c(rdaddr[6]),
- .o(_al_u2057_o));
- AL_MAP_LUT5 #(
- .EQN("~((~D*~B)*~((~C*A))*~(E)+(~D*~B)*(~C*A)*~(E)+~((~D*~B))*(~C*A)*E+(~D*~B)*(~C*A)*E)"),
- .INIT(32'hf5f5ffcc))
- _al_u2058 (
- .a(_al_u2044_o),
- .b(_al_u2051_o),
- .c(_al_u2054_o),
- .d(_al_u2057_o),
- .e(rdaddr[8]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_8 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2059 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_007 ),
- .d(rdaddr[5]),
- .o(_al_u2059_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2060 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_007 ),
- .c(_al_u2059_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_73 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2061 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_007 ),
- .d(rdaddr[5]),
- .o(_al_u2061_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2062 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_007 ),
- .c(_al_u2061_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_72 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf503))
- _al_u2063 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_73 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_72 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2063_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2064 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_007 ),
- .d(rdaddr[5]),
- .o(_al_u2064_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2065 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_007 ),
- .c(_al_u2064_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_75 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2066 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_007 ),
- .d(rdaddr[5]),
- .o(_al_u2066_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2067 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_007 ),
- .c(_al_u2066_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_74 ));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*~(C)*E+~(A)*~(B)*C*E+~(A)*B*C*E+A*B*C*E))"),
- .INIT(32'h00d80055))
- _al_u2068 (
- .a(_al_u2063_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_75 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_74 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u2068_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2069 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_007 ),
- .d(rdaddr[5]),
- .o(_al_u2069_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2070 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_007 ),
- .c(_al_u2069_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_78 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2071 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_007 ),
- .d(rdaddr[5]),
- .o(_al_u2071_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2072 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_007 ),
- .c(_al_u2071_o),
- .d(rdaddr[5]),
- .o(_al_u2072_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcf50))
- _al_u2073 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_78 ),
- .b(_al_u2072_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2073_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2074 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_007 ),
- .o(_al_u2074_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h10111213))
- _al_u2075 (
- .a(_al_u2074_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_007 ),
- .o(_al_u2075_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2076 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_007 ),
- .o(_al_u2076_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u2077 (
- .a(_al_u2076_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_007 ),
- .o(_al_u2077_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)))"),
- .INIT(32'h4e00ff00))
- _al_u2078 (
- .a(_al_u2073_o),
- .b(_al_u2075_o),
- .c(_al_u2077_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2078_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(C*~B)*~(~E*~A))"),
- .INIT(32'h00cf008a))
- _al_u2079 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_8 ),
- .b(_al_u2068_o),
- .c(_al_u2078_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u2079_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2080 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_007 ),
- .o(_al_u2080_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2081 (
- .a(_al_u2080_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_007 ),
- .o(_al_u2081_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2082 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_007 ),
- .d(rdaddr[5]),
- .o(_al_u2082_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2083 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_007 ),
- .c(_al_u2082_o),
- .d(rdaddr[5]),
- .o(_al_u2083_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*~(C*B)))"),
- .INIT(16'h5540))
- _al_u2084 (
- .a(_al_u2081_o),
- .b(_al_u2083_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2084_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2085 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_007 ),
- .d(rdaddr[5]),
- .o(_al_u2085_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2086 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_007 ),
- .c(_al_u2085_o),
- .d(rdaddr[5]),
- .o(_al_u2086_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2087 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_007 ),
- .d(rdaddr[5]),
- .o(_al_u2087_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2088 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_007 ),
- .c(_al_u2087_o),
- .d(rdaddr[5]),
- .o(_al_u2088_o));
- AL_MAP_LUT5 #(
- .EQN("(D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+A*~(B)*C*~(E)+~(A)*~(B)*~(C)*E+~(A)*B*~(C)*E+~(A)*~(B)*C*E+~(A)*B*C*E))"),
- .INIT(32'h55002700))
- _al_u2089 (
- .a(_al_u2084_o),
- .b(_al_u2086_o),
- .c(_al_u2088_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u2089_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2090 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_007 ),
- .d(rdaddr[5]),
- .o(_al_u2090_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2091 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_007 ),
- .c(_al_u2090_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_91 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2092 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_007 ),
- .d(rdaddr[5]),
- .o(_al_u2092_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2093 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_007 ),
- .c(_al_u2092_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_90 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u2094 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_91 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_90 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2094_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2095 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_007 ),
- .d(rdaddr[5]),
- .o(_al_u2095_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2096 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_007 ),
- .c(_al_u2095_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_89 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2097 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_007 ),
- .d(rdaddr[5]),
- .o(_al_u2097_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2098 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_007 ),
- .c(_al_u2097_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_88 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0503))
- _al_u2099 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_89 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_88 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2099_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*~C*~B))"),
- .INIT(16'h5554))
- _al_u2100 (
- .a(_al_u2089_o),
- .b(_al_u2094_o),
- .c(_al_u2099_o),
- .d(rdaddr[8]),
- .o(_al_u2100_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2101 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_007 ),
- .d(rdaddr[5]),
- .o(_al_u2101_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2102 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_007 ),
- .c(_al_u2101_o),
- .d(rdaddr[5]),
- .o(_al_u2102_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2103 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_007 ),
- .d(rdaddr[5]),
- .o(_al_u2103_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2104 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_007 ),
- .c(_al_u2103_o),
- .d(rdaddr[5]),
- .o(_al_u2104_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0503))
- _al_u2105 (
- .a(_al_u2102_o),
- .b(_al_u2104_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2105_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2106 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_007 ),
- .d(rdaddr[5]),
- .o(_al_u2106_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2107 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_007 ),
- .c(_al_u2106_o),
- .d(rdaddr[5]),
- .o(_al_u2107_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*~A))"),
- .INIT(8'h0b))
- _al_u2108 (
- .a(_al_u2107_o),
- .b(_al_u674_o),
- .c(rdaddr[8]),
- .o(_al_u2108_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2109 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_007 ),
- .d(rdaddr[5]),
- .o(_al_u2109_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2110 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_007 ),
- .c(_al_u2109_o),
- .d(rdaddr[5]),
- .o(_al_u2110_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*~C))"),
- .INIT(16'h4044))
- _al_u2111 (
- .a(_al_u2105_o),
- .b(_al_u2108_o),
- .c(_al_u2110_o),
- .d(_al_u671_o),
- .o(_al_u2111_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2112 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_007 ),
- .d(rdaddr[5]),
- .o(_al_u2112_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2113 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_007 ),
- .c(_al_u2112_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_85 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2114 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_007 ),
- .d(rdaddr[5]),
- .o(_al_u2114_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2115 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_007 ),
- .c(_al_u2114_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_84 ));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u2116 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_85 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_84 ),
- .c(rdaddr[6]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B2_42 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2117 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_007 ),
- .d(rdaddr[5]),
- .o(_al_u2117_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2118 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_007 ),
- .c(_al_u2117_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_86 ));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u2119 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_007 ),
- .o(_al_u2119_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u2120 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_007 ),
- .o(_al_u2120_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~((~C*~B))*~(D)+A*(~C*~B)*~(D)+~(A)*(~C*~B)*D+A*(~C*~B)*D)"),
- .INIT(16'hfc55))
- _al_u2121 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_86 ),
- .b(_al_u2119_o),
- .c(_al_u2120_o),
- .d(rdaddr[6]),
- .o(_al_u2121_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*(~B*~(C)*~(E)+~B*C*~(E)+~(~B)*C*E+~B*C*E)))"),
- .INIT(32'h05554455))
- _al_u2122 (
- .a(_al_u2111_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B2_42 ),
- .c(_al_u2121_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_10 ));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*~(~C*~(B)*~(E)+~C*B*~(E)+~(~C)*B*E+~C*B*E)))"),
- .INIT(32'h44550555))
- _al_u2123 (
- .a(_al_u2079_o),
- .b(_al_u2100_o),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_10 ),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u2123_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2124 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_007 ),
- .d(rdaddr[5]),
- .o(_al_u2124_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2125 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_007 ),
- .c(_al_u2124_o),
- .d(rdaddr[5]),
- .o(_al_u2125_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2126 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_007 ),
- .d(rdaddr[5]),
- .o(_al_u2126_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2127 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_007 ),
- .c(_al_u2126_o),
- .d(rdaddr[5]),
- .o(_al_u2127_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2128 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_007 ),
- .d(rdaddr[5]),
- .o(_al_u2128_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2129 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_007 ),
- .c(_al_u2128_o),
- .d(rdaddr[5]),
- .o(_al_u2129_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hf0ffccaa))
- _al_u2130 (
- .a(_al_u2125_o),
- .b(_al_u2127_o),
- .c(_al_u2129_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2130_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2131 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_007 ),
- .d(rdaddr[5]),
- .o(_al_u2131_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2132 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_007 ),
- .c(_al_u2131_o),
- .d(rdaddr[5]),
- .o(_al_u2132_o));
- AL_MAP_LUT5 #(
- .EQN("(C*A*~(E*~D*~B))"),
- .INIT(32'ha080a0a0))
- _al_u2133 (
- .a(_al_u2130_o),
- .b(_al_u2132_o),
- .c(rdaddr[9]),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2133_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2134 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_007 ),
- .d(rdaddr[5]),
- .o(_al_u2134_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2135 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_007 ),
- .c(_al_u2134_o),
- .d(rdaddr[5]),
- .o(_al_u2135_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2136 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_007 ),
- .d(rdaddr[5]),
- .o(_al_u2136_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2137 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_007 ),
- .c(_al_u2136_o),
- .d(rdaddr[5]),
- .o(_al_u2137_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcfa0))
- _al_u2138 (
- .a(_al_u2135_o),
- .b(_al_u2137_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2138_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2139 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_007 ),
- .d(rdaddr[5]),
- .o(_al_u2139_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2140 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_007 ),
- .c(_al_u2139_o),
- .d(rdaddr[5]),
- .o(_al_u2140_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2141 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_007 ),
- .d(rdaddr[5]),
- .o(_al_u2141_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2142 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_007 ),
- .c(_al_u2141_o),
- .d(rdaddr[5]),
- .o(_al_u2142_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*B*~(C)*~(E)+A*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*C*~(E)+A*~(B)*~(C)*E+A*B*~(C)*E+A*~(B)*C*E+A*B*C*E))"),
- .INIT(32'h00aa00e4))
- _al_u2143 (
- .a(_al_u2138_o),
- .b(_al_u2140_o),
- .c(_al_u2142_o),
- .d(rdaddr[9]),
- .e(rdaddr[7]),
- .o(_al_u2143_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2144 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_007 ),
- .d(rdaddr[5]),
- .o(_al_u2144_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2145 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_007 ),
- .c(_al_u2144_o),
- .d(rdaddr[5]),
- .o(_al_u2145_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u2146 (
- .a(_al_u2145_o),
- .b(_al_u674_o),
- .c(rdaddr[9]),
- .o(_al_u2146_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2147 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_007 ),
- .o(_al_u2147_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2148 (
- .a(_al_u2147_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_007 ),
- .o(_al_u2148_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2149 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_007 ),
- .o(_al_u2149_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2150 (
- .a(_al_u2149_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_007 ),
- .o(_al_u2150_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2151 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_007 ),
- .d(rdaddr[5]),
- .o(_al_u2151_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2152 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_007 ),
- .c(_al_u2151_o),
- .d(rdaddr[5]),
- .o(_al_u2152_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u2153 (
- .a(_al_u2146_o),
- .b(_al_u2148_o),
- .c(_al_u2150_o),
- .d(_al_u2152_o),
- .e(_al_u668_o),
- .o(_al_u2153_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~C*~(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)))"),
- .INIT(32'haaa8a2a0))
- _al_u2154 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_007 ),
- .o(_al_u2154_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(C*~(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)))"),
- .INIT(32'h55451505))
- _al_u2155 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_007 ),
- .o(_al_u2155_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u2156 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_007 ),
- .o(_al_u2156_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u2157 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_007 ),
- .o(_al_u2157_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~D*B)*~(~C*A))"),
- .INIT(32'hf5310000))
- _al_u2158 (
- .a(_al_u2154_o),
- .b(_al_u2155_o),
- .c(_al_u2156_o),
- .d(_al_u2157_o),
- .e(rdaddr[7]),
- .o(_al_u2158_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~C*~(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)))"),
- .INIT(32'haaa8a2a0))
- _al_u2159 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_007 ),
- .o(_al_u2159_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(C*~(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)))"),
- .INIT(32'haa8a2a0a))
- _al_u2160 (
- .a(_al_u2159_o),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_007 ),
- .o(_al_u2160_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u2161 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_007 ),
- .o(_al_u2161_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u2162 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_007 ),
- .o(_al_u2162_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0c0a))
- _al_u2163 (
- .a(_al_u2161_o),
- .b(_al_u2162_o),
- .c(rdaddr[6]),
- .d(rdaddr[4]),
- .o(_al_u2163_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(~A*~(~E*~C*~B)))"),
- .INIT(32'h00aa00ab))
- _al_u2164 (
- .a(_al_u2158_o),
- .b(_al_u2160_o),
- .c(_al_u2163_o),
- .d(rdaddr[9]),
- .e(rdaddr[7]),
- .o(_al_u2164_o));
- AL_MAP_LUT5 #(
- .EQN("~((~D*~C)*~((~B*~A))*~(E)+(~D*~C)*(~B*~A)*~(E)+~((~D*~C))*(~B*~A)*E+(~D*~C)*(~B*~A)*E)"),
- .INIT(32'heeeefff0))
- _al_u2165 (
- .a(_al_u2133_o),
- .b(_al_u2143_o),
- .c(_al_u2153_o),
- .d(_al_u2164_o),
- .e(rdaddr[8]),
- .o(_al_u2165_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2166 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_007 ),
- .d(rdaddr[6]),
- .o(_al_u2166_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2167 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_007 ),
- .c(_al_u2166_o),
- .d(rdaddr[6]),
- .o(_al_u2167_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2168 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_007 ),
- .d(rdaddr[6]),
- .o(_al_u2168_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2169 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_007 ),
- .c(_al_u2168_o),
- .d(rdaddr[6]),
- .o(_al_u2169_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u2170 (
- .a(_al_u2167_o),
- .b(_al_u2169_o),
- .c(rdaddr[5]),
- .o(_al_u2170_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2171 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_007 ),
- .d(rdaddr[5]),
- .o(_al_u2171_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2172 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_007 ),
- .c(_al_u2171_o),
- .d(rdaddr[5]),
- .o(_al_u2172_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u2173 (
- .a(_al_u2172_o),
- .b(rdaddr[6]),
- .o(_al_u2173_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2174 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_007 ),
- .o(_al_u2174_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2175 (
- .a(_al_u2174_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_007 ),
- .o(_al_u2175_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*((~C*~B)*~(A)*~(E)+(~C*~B)*A*~(E)+~((~C*~B))*A*E+(~C*~B)*A*E))"),
- .INIT(32'h00aa0003))
- _al_u2176 (
- .a(_al_u2170_o),
- .b(_al_u2173_o),
- .c(_al_u2175_o),
- .d(rdaddr[9]),
- .e(rdaddr[7]),
- .o(_al_u2176_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2177 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_007 ),
- .d(rdaddr[5]),
- .o(_al_u2177_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2178 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_007 ),
- .c(_al_u2177_o),
- .d(rdaddr[5]),
- .o(_al_u2178_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2179 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_007 ),
- .d(rdaddr[5]),
- .o(_al_u2179_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2180 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_007 ),
- .c(_al_u2179_o),
- .d(rdaddr[5]),
- .o(_al_u2180_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u2181 (
- .a(_al_u2178_o),
- .b(_al_u2180_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2181_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2182 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_007 ),
- .d(rdaddr[5]),
- .o(_al_u2182_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2183 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_007 ),
- .c(_al_u2182_o),
- .d(rdaddr[5]),
- .o(_al_u2183_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u2184 (
- .a(_al_u2183_o),
- .b(_al_u668_o),
- .c(rdaddr[9]),
- .o(_al_u2184_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2185 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_007 ),
- .d(rdaddr[5]),
- .o(_al_u2185_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2186 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_007 ),
- .c(_al_u2185_o),
- .d(rdaddr[5]),
- .o(_al_u2186_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(C*~B*~(E*~D)))"),
- .INIT(32'h45554545))
- _al_u2187 (
- .a(_al_u2176_o),
- .b(_al_u2181_o),
- .c(_al_u2184_o),
- .d(_al_u2186_o),
- .e(_al_u678_o),
- .o(_al_u2187_o));
- AL_MAP_LUT4 #(
- .EQN("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hc840))
- _al_u2188 (
- .a(rdaddr[6]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_007 ),
- .o(_al_u2188_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h3210))
- _al_u2189 (
- .a(rdaddr[6]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_007 ),
- .o(_al_u2189_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(D*~B*~A))"),
- .INIT(16'he0f0))
- _al_u2190 (
- .a(_al_u2188_o),
- .b(_al_u2189_o),
- .c(rdaddr[7]),
- .d(rdaddr[5]),
- .o(_al_u2190_o));
- AL_MAP_LUT4 #(
- .EQN("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hc840))
- _al_u2191 (
- .a(rdaddr[6]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_007 ),
- .o(_al_u2191_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h3210))
- _al_u2192 (
- .a(rdaddr[6]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_007 ),
- .o(_al_u2192_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*~C*~B))"),
- .INIT(16'haaa8))
- _al_u2193 (
- .a(_al_u2190_o),
- .b(_al_u2191_o),
- .c(_al_u2192_o),
- .d(rdaddr[5]),
- .o(_al_u2193_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2194 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_007 ),
- .d(rdaddr[5]),
- .o(_al_u2194_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2195 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_007 ),
- .c(_al_u2194_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_8 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2196 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_007 ),
- .d(rdaddr[5]),
- .o(_al_u2196_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2197 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_007 ),
- .c(_al_u2196_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_9 ));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~D*(A*~(B)*~(E)+A*B*~(E)+~(A)*B*E+A*B*E)))"),
- .INIT(32'hf030f050))
- _al_u2198 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_8 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_9 ),
- .c(rdaddr[9]),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2198_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2199 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_007 ),
- .d(rdaddr[5]),
- .o(_al_u2199_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2200 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_007 ),
- .c(_al_u2199_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_0 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2201 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_007 ),
- .d(rdaddr[5]),
- .o(_al_u2201_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2202 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_007 ),
- .c(_al_u2201_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_1 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0305))
- _al_u2203 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_0 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_1 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2203_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2204 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_007 ),
- .d(rdaddr[5]),
- .o(_al_u2204_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2205 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_007 ),
- .c(_al_u2204_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_3 ));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'haad8))
- _al_u2206 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_007 ),
- .d(rdaddr[5]),
- .o(_al_u2206_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u2207 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_007 ),
- .c(_al_u2206_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_2 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u2208 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_3 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_2 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2208_o));
- AL_MAP_LUT5 #(
- .EQN("(~(~E*~(~D*~C))*~(B*~A))"),
- .INIT(32'hbbbb000b))
- _al_u2209 (
- .a(_al_u2193_o),
- .b(_al_u2198_o),
- .c(_al_u2203_o),
- .d(_al_u2208_o),
- .e(rdaddr[9]),
- .o(_al_u2209_o));
- AL_MAP_LUT5 #(
- .EQN("~(~(C*~(B)*~(E)+C*B*~(E)+~(C)*B*E+C*B*E)*~(A)*~(D)+~(C*~(B)*~(E)+C*B*~(E)+~(C)*B*E+C*B*E)*A*~(D)+~(~(C*~(B)*~(E)+C*B*~(E)+~(C)*B*E+C*B*E))*A*D+~(C*~(B)*~(E)+C*B*~(E)+~(C)*B*E+C*B*E)*A*D)"),
- .INIT(32'h55cc55f0))
- _al_u2210 (
- .a(_al_u2165_o),
- .b(_al_u2187_o),
- .c(_al_u2209_o),
- .d(rdaddr[10]),
- .e(rdaddr[8]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B6_0 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2211 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_007 ),
- .d(rdaddr[5]),
- .o(_al_u2211_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2212 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_007 ),
- .c(_al_u2211_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_58 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2213 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_007 ),
- .d(rdaddr[5]),
- .o(_al_u2213_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2214 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_007 ),
- .c(_al_u2213_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_59 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u2215 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_58 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_59 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2215_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2216 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_007 ),
- .d(rdaddr[5]),
- .o(_al_u2216_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2217 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_007 ),
- .c(_al_u2216_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_57 ));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u2218 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_57 ),
- .b(_al_u668_o),
- .o(_al_u2218_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2219 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_007 ),
- .d(rdaddr[5]),
- .o(_al_u2219_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2220 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_007 ),
- .c(_al_u2219_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_56 ));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~B*~A*~(D*~C)))"),
- .INIT(32'hefee0000))
- _al_u2221 (
- .a(_al_u2215_o),
- .b(_al_u2218_o),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_56 ),
- .d(_al_u678_o),
- .e(rdaddr[9]),
- .o(_al_u2221_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2222 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_007 ),
- .d(rdaddr[5]),
- .o(_al_u2222_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2223 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_007 ),
- .c(_al_u2222_o),
- .d(rdaddr[5]),
- .o(_al_u2223_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2224 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_007 ),
- .d(rdaddr[5]),
- .o(_al_u2224_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2225 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_007 ),
- .c(_al_u2224_o),
- .d(rdaddr[5]),
- .o(_al_u2225_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2226 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_007 ),
- .d(rdaddr[5]),
- .o(_al_u2226_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2227 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_007 ),
- .c(_al_u2226_o),
- .d(rdaddr[5]),
- .o(_al_u2227_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'haaccf0ff))
- _al_u2228 (
- .a(_al_u2223_o),
- .b(_al_u2225_o),
- .c(_al_u2227_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2228_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2229 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_007 ),
- .o(_al_u2229_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2230 (
- .a(_al_u2229_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_007 ),
- .o(_al_u2230_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~A*~(~D*~C*B)))"),
- .INIT(32'h0000aaae))
- _al_u2231 (
- .a(_al_u2221_o),
- .b(_al_u2228_o),
- .c(_al_u2230_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2231_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2232 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_007 ),
- .d(rdaddr[5]),
- .o(_al_u2232_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2233 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_007 ),
- .c(_al_u2232_o),
- .d(rdaddr[5]),
- .o(_al_u2233_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u2234 (
- .a(_al_u2233_o),
- .b(_al_u674_o),
- .c(rdaddr[9]),
- .o(_al_u2234_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2235 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_007 ),
- .o(_al_u2235_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2236 (
- .a(_al_u2235_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_007 ),
- .o(_al_u2236_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2237 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_007 ),
- .o(_al_u2237_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2238 (
- .a(_al_u2237_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_007 ),
- .o(_al_u2238_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2239 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_007 ),
- .d(rdaddr[5]),
- .o(_al_u2239_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2240 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_007 ),
- .c(_al_u2239_o),
- .d(rdaddr[5]),
- .o(_al_u2240_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u2241 (
- .a(_al_u2234_o),
- .b(_al_u2236_o),
- .c(_al_u2238_o),
- .d(_al_u2240_o),
- .e(_al_u668_o),
- .o(_al_u2241_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2242 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_007 ),
- .d(rdaddr[5]),
- .o(_al_u2242_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2243 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_007 ),
- .c(_al_u2242_o),
- .d(rdaddr[5]),
- .o(_al_u2243_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2244 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_007 ),
- .d(rdaddr[5]),
- .o(_al_u2244_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2245 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_007 ),
- .c(_al_u2244_o),
- .d(rdaddr[5]),
- .o(_al_u2245_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2246 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_007 ),
- .d(rdaddr[5]),
- .o(_al_u2246_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2247 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_007 ),
- .c(_al_u2246_o),
- .d(rdaddr[5]),
- .o(_al_u2247_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hffccf0aa))
- _al_u2248 (
- .a(_al_u2243_o),
- .b(_al_u2245_o),
- .c(_al_u2247_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2248_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2249 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_007 ),
- .o(_al_u2249_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2250 (
- .a(_al_u2249_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_007 ),
- .o(_al_u2250_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~A*~(~D*~C*B)))"),
- .INIT(32'haaae0000))
- _al_u2251 (
- .a(_al_u2241_o),
- .b(_al_u2248_o),
- .c(_al_u2250_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2251_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2252 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_007 ),
- .o(_al_u2252_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2253 (
- .a(_al_u2252_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_007 ),
- .o(_al_u2253_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2254 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_007 ),
- .o(_al_u2254_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2255 (
- .a(_al_u2254_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_007 ),
- .o(_al_u2255_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2256 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_007 ),
- .o(_al_u2256_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2257 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_007 ),
- .o(_al_u2257_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(C*~(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'h00af00cf))
- _al_u2258 (
- .a(_al_u2256_o),
- .b(_al_u2257_o),
- .c(_al_u668_o),
- .d(rdaddr[9]),
- .e(rdaddr[4]),
- .o(_al_u2258_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2259 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_007 ),
- .d(rdaddr[5]),
- .o(_al_u2259_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2260 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_007 ),
- .c(_al_u2259_o),
- .d(rdaddr[5]),
- .o(_al_u2260_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~B*~A*~(E*~D))"),
- .INIT(32'h10001010))
- _al_u2261 (
- .a(_al_u2253_o),
- .b(_al_u2255_o),
- .c(_al_u2258_o),
- .d(_al_u2260_o),
- .e(_al_u674_o),
- .o(_al_u2261_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2262 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_007 ),
- .o(_al_u2262_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2263 (
- .a(_al_u2262_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_007 ),
- .o(_al_u2263_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u2264 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_007 ),
- .o(_al_u2264_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u2265 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_007 ),
- .o(_al_u2265_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(~A*~(~E*~C*~B)))"),
- .INIT(32'h00aa00ab))
- _al_u2266 (
- .a(_al_u2263_o),
- .b(_al_u2264_o),
- .c(_al_u2265_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2266_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2267 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_007 ),
- .o(_al_u2267_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2268 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_007 ),
- .o(_al_u2268_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u2269 (
- .a(_al_u2267_o),
- .b(_al_u2268_o),
- .c(rdaddr[6]),
- .d(rdaddr[5]),
- .o(_al_u2269_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2270 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_007 ),
- .d(rdaddr[5]),
- .o(_al_u2270_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2271 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_007 ),
- .c(_al_u2270_o),
- .d(rdaddr[5]),
- .o(_al_u2271_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(D*~(~A*~(~E*~B))))"),
- .INIT(32'h50f040f0))
- _al_u2272 (
- .a(_al_u2269_o),
- .b(_al_u2271_o),
- .c(rdaddr[9]),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2272_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~A*~(C*~B)))"),
- .INIT(16'hba00))
- _al_u2273 (
- .a(_al_u2261_o),
- .b(_al_u2266_o),
- .c(_al_u2272_o),
- .d(rdaddr[8]),
- .o(_al_u2273_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2274 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_007 ),
- .o(_al_u2274_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2275 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_007 ),
- .o(_al_u2275_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(C*~(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'haf00cf00))
- _al_u2276 (
- .a(_al_u2274_o),
- .b(_al_u2275_o),
- .c(_al_u671_o),
- .d(rdaddr[9]),
- .e(rdaddr[4]),
- .o(_al_u2276_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2277 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_007 ),
- .o(_al_u2277_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2278 (
- .a(_al_u2277_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_007 ),
- .o(_al_u2278_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2279 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_007 ),
- .o(_al_u2279_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2280 (
- .a(_al_u2279_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_007 ),
- .o(_al_u2280_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2281 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_007 ),
- .d(rdaddr[5]),
- .o(_al_u2281_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2282 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_007 ),
- .c(_al_u2281_o),
- .d(rdaddr[5]),
- .o(_al_u2282_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u2283 (
- .a(_al_u2276_o),
- .b(_al_u2278_o),
- .c(_al_u2280_o),
- .d(_al_u2282_o),
- .e(_al_u668_o),
- .o(_al_u2283_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'ha820))
- _al_u2284 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_007 ),
- .o(_al_u2284_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h5410))
- _al_u2285 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_007 ),
- .o(_al_u2285_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~B*~A))"),
- .INIT(16'h0f0e))
- _al_u2286 (
- .a(_al_u2284_o),
- .b(_al_u2285_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2286_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'ha820))
- _al_u2287 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_007 ),
- .o(_al_u2287_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h5410))
- _al_u2288 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_007 ),
- .o(_al_u2288_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~C*~B))"),
- .INIT(16'ha8aa))
- _al_u2289 (
- .a(_al_u2286_o),
- .b(_al_u2287_o),
- .c(_al_u2288_o),
- .d(rdaddr[6]),
- .o(_al_u2289_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2290 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_007 ),
- .d(rdaddr[5]),
- .o(_al_u2290_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2291 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_007 ),
- .c(_al_u2290_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_34 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2292 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_007 ),
- .d(rdaddr[5]),
- .o(_al_u2292_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2293 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_007 ),
- .c(_al_u2292_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_35 ));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*(A*~(B)*~(E)+A*B*~(E)+~(A)*B*E+A*B*E)))"),
- .INIT(32'h030f050f))
- _al_u2294 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_34 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_35 ),
- .c(rdaddr[9]),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2294_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~A*~(C*~B)))"),
- .INIT(16'h00ba))
- _al_u2295 (
- .a(_al_u2283_o),
- .b(_al_u2289_o),
- .c(_al_u2294_o),
- .d(rdaddr[8]),
- .o(_al_u2295_o));
- AL_MAP_LUT5 #(
- .EQN("~((~D*~C)*~((~B*~A))*~(E)+(~D*~C)*(~B*~A)*~(E)+~((~D*~C))*(~B*~A)*E+(~D*~C)*(~B*~A)*E)"),
- .INIT(32'heeeefff0))
- _al_u2296 (
- .a(_al_u2231_o),
- .b(_al_u2251_o),
- .c(_al_u2273_o),
- .d(_al_u2295_o),
- .e(rdaddr[10]),
- .o(_al_u2296_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2297 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_007 ),
- .d(rdaddr[5]),
- .o(_al_u2297_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2298 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_007 ),
- .c(_al_u2297_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_122 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*~A))"),
- .INIT(8'h0b))
- _al_u2299 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_122 ),
- .b(_al_u671_o),
- .c(rdaddr[8]),
- .o(_al_u2299_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u2300 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_007 ),
- .o(_al_u2300_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u2301 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_007 ),
- .o(_al_u2301_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~B*~A))"),
- .INIT(16'h0f0e))
- _al_u2302 (
- .a(_al_u2300_o),
- .b(_al_u2301_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2302_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2303 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_007 ),
- .o(_al_u2303_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2304 (
- .a(_al_u2303_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_007 ),
- .o(_al_u2304_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2305 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_007 ),
- .d(rdaddr[5]),
- .o(_al_u2305_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2306 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_007 ),
- .c(_al_u2305_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_123 ));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*~D)*~(~C*B))"),
- .INIT(32'ha200a2a2))
- _al_u2307 (
- .a(_al_u2299_o),
- .b(_al_u2302_o),
- .c(_al_u2304_o),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_123 ),
- .e(_al_u674_o),
- .o(_al_u2307_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2308 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_007 ),
- .o(_al_u2308_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2309 (
- .a(_al_u2308_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_007 ),
- .o(_al_u2309_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2310 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_007 ),
- .o(_al_u2310_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2311 (
- .a(_al_u2310_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_007 ),
- .o(_al_u2311_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2312 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_007 ),
- .o(_al_u2312_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2313 (
- .a(_al_u2312_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_007 ),
- .o(_al_u2313_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2314 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_007 ),
- .d(rdaddr[5]),
- .o(_al_u2314_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2315 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_007 ),
- .c(_al_u2314_o),
- .d(rdaddr[5]),
- .o(_al_u2315_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~A*~(E*~D))"),
- .INIT(32'h01000101))
- _al_u2316 (
- .a(_al_u2309_o),
- .b(_al_u2311_o),
- .c(_al_u2313_o),
- .d(_al_u2315_o),
- .e(_al_u674_o),
- .o(_al_u2316_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(D*~(~A*~(E*~B))))"),
- .INIT(32'h40f050f0))
- _al_u2317 (
- .a(_al_u2307_o),
- .b(_al_u2316_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2317_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2318 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_007 ),
- .o(_al_u2318_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2319 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_007 ),
- .o(_al_u2319_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(C*~(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'haf00cf00))
- _al_u2320 (
- .a(_al_u2318_o),
- .b(_al_u2319_o),
- .c(_al_u668_o),
- .d(rdaddr[8]),
- .e(rdaddr[4]),
- .o(_al_u2320_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2321 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_007 ),
- .o(_al_u2321_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2322 (
- .a(_al_u2321_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_007 ),
- .o(_al_u2322_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2323 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_007 ),
- .o(_al_u2323_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2324 (
- .a(_al_u2323_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_007 ),
- .o(_al_u2324_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2325 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_007 ),
- .d(rdaddr[5]),
- .o(_al_u2325_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2326 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_007 ),
- .c(_al_u2325_o),
- .d(rdaddr[5]),
- .o(_al_u2326_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u2327 (
- .a(_al_u2320_o),
- .b(_al_u2322_o),
- .c(_al_u2324_o),
- .d(_al_u2326_o),
- .e(_al_u671_o),
- .o(_al_u2327_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2328 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_007 ),
- .d(rdaddr[5]),
- .o(_al_u2328_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2329 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_007 ),
- .c(_al_u2328_o),
- .d(rdaddr[5]),
- .o(_al_u2329_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2330 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_007 ),
- .d(rdaddr[5]),
- .o(_al_u2330_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2331 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_007 ),
- .c(_al_u2330_o),
- .d(rdaddr[5]),
- .o(_al_u2331_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2332 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_007 ),
- .d(rdaddr[5]),
- .o(_al_u2332_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2333 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_007 ),
- .c(_al_u2332_o),
- .d(rdaddr[5]),
- .o(_al_u2333_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+A*~(B)*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hccffaaf0))
- _al_u2334 (
- .a(_al_u2329_o),
- .b(_al_u2331_o),
- .c(_al_u2333_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2334_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2335 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_007 ),
- .d(rdaddr[5]),
- .o(_al_u2335_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2336 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_007 ),
- .c(_al_u2335_o),
- .d(rdaddr[5]),
- .o(_al_u2336_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*~A))"),
- .INIT(8'h0b))
- _al_u2337 (
- .a(_al_u2336_o),
- .b(_al_u668_o),
- .c(rdaddr[8]),
- .o(_al_u2337_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(~E*~A*~(C*B)))"),
- .INIT(32'h00ff00ea))
- _al_u2338 (
- .a(_al_u2327_o),
- .b(_al_u2334_o),
- .c(_al_u2337_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u2338_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2339 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_007 ),
- .o(_al_u2339_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2340 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_007 ),
- .o(_al_u2340_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(C*~(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'h00af00cf))
- _al_u2341 (
- .a(_al_u2339_o),
- .b(_al_u2340_o),
- .c(_al_u674_o),
- .d(rdaddr[8]),
- .e(rdaddr[4]),
- .o(_al_u2341_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2342 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_007 ),
- .o(_al_u2342_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2343 (
- .a(_al_u2342_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_007 ),
- .o(_al_u2343_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2344 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_007 ),
- .o(_al_u2344_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2345 (
- .a(_al_u2344_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_007 ),
- .o(_al_u2345_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2346 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_007 ),
- .d(rdaddr[5]),
- .o(_al_u2346_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2347 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_007 ),
- .c(_al_u2346_o),
- .d(rdaddr[5]),
- .o(_al_u2347_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u2348 (
- .a(_al_u2341_o),
- .b(_al_u2343_o),
- .c(_al_u2345_o),
- .d(_al_u2347_o),
- .e(_al_u678_o),
- .o(_al_u2348_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2349 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_007 ),
- .d(rdaddr[5]),
- .o(_al_u2349_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2350 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_007 ),
- .c(_al_u2349_o),
- .d(rdaddr[5]),
- .o(_al_u2350_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2351 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_007 ),
- .d(rdaddr[5]),
- .o(_al_u2351_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2352 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_007 ),
- .c(_al_u2351_o),
- .d(rdaddr[5]),
- .o(_al_u2352_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2353 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_007 ),
- .d(rdaddr[5]),
- .o(_al_u2353_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2354 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_007 ),
- .c(_al_u2353_o),
- .d(rdaddr[5]),
- .o(_al_u2354_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfff0ccaa))
- _al_u2355 (
- .a(_al_u2350_o),
- .b(_al_u2352_o),
- .c(_al_u2354_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2355_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2356 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_007 ),
- .o(_al_u2356_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2357 (
- .a(_al_u2356_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_007 ),
- .o(_al_u2357_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(E*~C*B))"),
- .INIT(32'h00510055))
- _al_u2358 (
- .a(_al_u2348_o),
- .b(_al_u2355_o),
- .c(_al_u2357_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2358_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2359 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_007 ),
- .d(rdaddr[5]),
- .o(_al_u2359_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2360 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_007 ),
- .c(_al_u2359_o),
- .d(rdaddr[5]),
- .o(_al_u2360_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2361 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_007 ),
- .d(rdaddr[5]),
- .o(_al_u2361_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2362 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_007 ),
- .c(_al_u2361_o),
- .d(rdaddr[5]),
- .o(_al_u2362_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2363 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_007 ),
- .d(rdaddr[5]),
- .o(_al_u2363_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2364 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_007 ),
- .c(_al_u2363_o),
- .d(rdaddr[5]),
- .o(_al_u2364_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+A*~(B)*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hccf0aaff))
- _al_u2365 (
- .a(_al_u2360_o),
- .b(_al_u2362_o),
- .c(_al_u2364_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2365_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2366 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_007 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_007 ),
- .o(_al_u2366_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2367 (
- .a(_al_u2366_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_007 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_007 ),
- .o(_al_u2367_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~D*~B*A))"),
- .INIT(16'hf0d0))
- _al_u2368 (
- .a(_al_u2365_o),
- .b(_al_u2367_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u2368_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2369 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_007 ),
- .d(rdaddr[5]),
- .o(_al_u2369_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2370 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_007 ),
- .c(_al_u2369_o),
- .d(rdaddr[5]),
- .o(_al_u2370_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2371 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_007 ),
- .d(rdaddr[5]),
- .o(_al_u2371_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2372 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_007 ),
- .c(_al_u2371_o),
- .d(rdaddr[5]),
- .o(_al_u2372_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(D*~(A*~(B)*~(E)+A*B*~(E)+~(A)*B*E+A*B*E)))"),
- .INIT(32'hc0f0a0f0))
- _al_u2373 (
- .a(_al_u2370_o),
- .b(_al_u2372_o),
- .c(rdaddr[8]),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2373_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2374 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_007 ),
- .d(rdaddr[5]),
- .o(_al_u2374_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2375 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_007 ),
- .c(_al_u2374_o),
- .d(rdaddr[5]),
- .o(_al_u2375_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2376 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_007 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_007 ),
- .d(rdaddr[5]),
- .o(_al_u2376_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2377 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_007 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_007 ),
- .c(_al_u2376_o),
- .d(rdaddr[5]),
- .o(_al_u2377_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~D*~(C*~(B)*~(E)+C*B*~(E)+~(C)*B*E+C*B*E)))"),
- .INIT(32'haa88aaa0))
- _al_u2378 (
- .a(_al_u2373_o),
- .b(_al_u2375_o),
- .c(_al_u2377_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2378_o));
- AL_MAP_LUT5 #(
- .EQN("(~(~(~E*D)*B)*~(~C*A))"),
- .INIT(32'h3131f531))
- _al_u2379 (
- .a(_al_u2317_o),
- .b(_al_u2338_o),
- .c(_al_u2358_o),
- .d(_al_u2368_o),
- .e(_al_u2378_o),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B6_3 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hc5f0))
- _al_u2380 (
- .a(_al_u2296_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B6_3 ),
- .c(rdaddr[12]),
- .d(rdaddr[11]),
- .o(_al_u2380_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf05c))
- _al_u2381 (
- .a(_al_u2123_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B6_0 ),
- .c(_al_u2380_o),
- .d(rdaddr[11]),
- .o(\FM_Dump_Data_IQ/n62 [7]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u2382 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_006 ),
- .o(_al_u2382_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u2383 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_006 ),
- .o(_al_u2383_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(D*~B*~A))"),
- .INIT(16'h0e0f))
- _al_u2384 (
- .a(_al_u2382_o),
- .b(_al_u2383_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2384_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2385 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_006 ),
- .d(rdaddr[5]),
- .o(_al_u2385_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2386 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_006 ),
- .c(_al_u2385_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_64 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(~D*B)))"),
- .INIT(16'h050d))
- _al_u2387 (
- .a(_al_u2384_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_64 ),
- .c(rdaddr[8]),
- .d(rdaddr[6]),
- .o(_al_u2387_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2388 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_006 ),
- .d(rdaddr[5]),
- .o(_al_u2388_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2389 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_006 ),
- .c(_al_u2388_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_67 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2390 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_67 ),
- .b(rdaddr[6]),
- .o(_al_u2390_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2391 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_006 ),
- .o(_al_u2391_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u2392 (
- .a(_al_u2391_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_006 ),
- .o(_al_u2392_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(A*~(E*~C*~B)))"),
- .INIT(32'h00570055))
- _al_u2393 (
- .a(_al_u2387_o),
- .b(_al_u2390_o),
- .c(_al_u2392_o),
- .d(rdaddr[9]),
- .e(rdaddr[7]),
- .o(_al_u2393_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2394 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_006 ),
- .d(rdaddr[5]),
- .o(_al_u2394_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2395 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_006 ),
- .c(_al_u2394_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_75 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2396 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_006 ),
- .d(rdaddr[5]),
- .o(_al_u2396_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2397 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_006 ),
- .c(_al_u2396_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_74 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u2398 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_75 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_74 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2398_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2399 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_006 ),
- .d(rdaddr[5]),
- .o(_al_u2399_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2400 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_006 ),
- .c(_al_u2399_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_73 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2401 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_006 ),
- .d(rdaddr[5]),
- .o(_al_u2401_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2402 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_006 ),
- .c(_al_u2401_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_72 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0503))
- _al_u2403 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_73 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_72 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2403_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~D*~B*~A))"),
- .INIT(16'hf0e0))
- _al_u2404 (
- .a(_al_u2398_o),
- .b(_al_u2403_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u2404_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2405 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_006 ),
- .d(rdaddr[5]),
- .o(_al_u2405_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2406 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_006 ),
- .c(_al_u2405_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_79 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2407 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_006 ),
- .d(rdaddr[5]),
- .o(_al_u2407_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2408 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_006 ),
- .c(_al_u2407_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_78 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u2409 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_79 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_78 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2409_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2410 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_006 ),
- .d(rdaddr[5]),
- .o(_al_u2410_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2411 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_006 ),
- .c(_al_u2410_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_77 ));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u2412 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_006 ),
- .o(_al_u2412_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u2413 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_006 ),
- .o(_al_u2413_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~((~C*~B)*~(A)*~(E)+(~C*~B)*A*~(E)+~((~C*~B))*A*E+(~C*~B)*A*E))"),
- .INIT(32'h005500fc))
- _al_u2414 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_77 ),
- .b(_al_u2412_o),
- .c(_al_u2413_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2414_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u2415 (
- .a(_al_u2409_o),
- .b(_al_u2414_o),
- .c(rdaddr[8]),
- .o(_al_u2415_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2416 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_006 ),
- .d(rdaddr[5]),
- .o(_al_u2416_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2417 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_006 ),
- .c(_al_u2416_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_70 ));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u2418 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_006 ),
- .o(_al_u2418_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u2419 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_006 ),
- .o(_al_u2419_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'hfc005500))
- _al_u2420 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_70 ),
- .b(_al_u2418_o),
- .c(_al_u2419_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2420_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2421 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_006 ),
- .d(rdaddr[5]),
- .o(_al_u2421_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2422 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_006 ),
- .c(_al_u2421_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_68 ));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2423 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_68 ),
- .b(rdaddr[6]),
- .o(_al_u2423_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2424 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_006 ),
- .o(_al_u2424_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2425 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_006 ),
- .o(_al_u2425_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u2426 (
- .a(_al_u2424_o),
- .b(_al_u2425_o),
- .c(rdaddr[6]),
- .d(rdaddr[5]),
- .o(_al_u2426_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~A*~(~E*~C*~B))"),
- .INIT(32'h55005400))
- _al_u2427 (
- .a(_al_u2420_o),
- .b(_al_u2423_o),
- .c(_al_u2426_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u2427_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~C*B)*~(~D*A))"),
- .INIT(32'h0000f351))
- _al_u2428 (
- .a(_al_u2393_o),
- .b(_al_u2404_o),
- .c(_al_u2415_o),
- .d(_al_u2427_o),
- .e(rdaddr[10]),
- .o(_al_u2428_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2429 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_006 ),
- .o(_al_u2429_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2430 (
- .a(_al_u2429_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_006 ),
- .o(_al_u2430_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2431 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_006 ),
- .d(rdaddr[5]),
- .o(_al_u2431_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2432 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_006 ),
- .c(_al_u2431_o),
- .d(rdaddr[5]),
- .o(_al_u2432_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2433 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_006 ),
- .d(rdaddr[5]),
- .o(_al_u2433_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2434 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_006 ),
- .c(_al_u2433_o),
- .d(rdaddr[5]),
- .o(_al_u2434_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*~(B*~(C)*~(E)+B*C*~(E)+~(B)*C*E+B*C*E)))"),
- .INIT(32'h50554455))
- _al_u2435 (
- .a(_al_u2430_o),
- .b(_al_u2432_o),
- .c(_al_u2434_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2435_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2436 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_006 ),
- .d(rdaddr[5]),
- .o(_al_u2436_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2437 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_006 ),
- .c(_al_u2436_o),
- .d(rdaddr[5]),
- .o(_al_u2437_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(A*~(C*~B)))"),
- .INIT(16'h0075))
- _al_u2438 (
- .a(_al_u2435_o),
- .b(_al_u2437_o),
- .c(_al_u668_o),
- .d(rdaddr[8]),
- .o(_al_u2438_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2439 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_006 ),
- .d(rdaddr[5]),
- .o(_al_u2439_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2440 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_006 ),
- .c(_al_u2439_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_92 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2441 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_006 ),
- .d(rdaddr[5]),
- .o(_al_u2441_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2442 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_006 ),
- .c(_al_u2441_o),
- .d(rdaddr[5]),
- .o(_al_u2442_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
- .INIT(16'h0c05))
- _al_u2443 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_92 ),
- .b(_al_u2442_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2443_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2444 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_006 ),
- .d(rdaddr[5]),
- .o(_al_u2444_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2445 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_006 ),
- .c(_al_u2444_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_95 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2446 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_006 ),
- .d(rdaddr[5]),
- .o(_al_u2446_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2447 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_006 ),
- .c(_al_u2446_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_94 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u2448 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_95 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_94 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2448_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~A*~(E*~C*~B))"),
- .INIT(32'h54005500))
- _al_u2449 (
- .a(_al_u2438_o),
- .b(_al_u2443_o),
- .c(_al_u2448_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2449_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2450 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_006 ),
- .o(_al_u2450_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2451 (
- .a(_al_u2450_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_006 ),
- .o(_al_u2451_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2452 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_006 ),
- .o(_al_u2452_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2453 (
- .a(_al_u2452_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_006 ),
- .o(_al_u2453_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2454 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_006 ),
- .d(rdaddr[5]),
- .o(_al_u2454_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2455 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_006 ),
- .c(_al_u2454_o),
- .d(rdaddr[5]),
- .o(_al_u2455_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*~C))"),
- .INIT(16'h1011))
- _al_u2456 (
- .a(_al_u2451_o),
- .b(_al_u2453_o),
- .c(_al_u2455_o),
- .d(_al_u671_o),
- .o(_al_u2456_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2457 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_006 ),
- .d(rdaddr[5]),
- .o(_al_u2457_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2458 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_006 ),
- .c(_al_u2457_o),
- .d(rdaddr[5]),
- .o(_al_u2458_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(A*~(C*~B)))"),
- .INIT(16'h0075))
- _al_u2459 (
- .a(_al_u2456_o),
- .b(_al_u2458_o),
- .c(_al_u668_o),
- .d(rdaddr[8]),
- .o(_al_u2459_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2460 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_006 ),
- .o(_al_u2460_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2461 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_006 ),
- .o(_al_u2461_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0305))
- _al_u2462 (
- .a(_al_u2460_o),
- .b(_al_u2461_o),
- .c(rdaddr[6]),
- .d(rdaddr[5]),
- .o(_al_u2462_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u2463 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_006 ),
- .o(_al_u2463_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u2464 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_006 ),
- .o(_al_u2464_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(E*~C*~B))"),
- .INIT(32'h00540055))
- _al_u2465 (
- .a(_al_u2462_o),
- .b(_al_u2463_o),
- .c(_al_u2464_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2465_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2466 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_006 ),
- .d(rdaddr[5]),
- .o(_al_u2466_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2467 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_006 ),
- .c(_al_u2466_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_87 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2468 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_87 ),
- .b(rdaddr[6]),
- .o(_al_u2468_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2469 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_006 ),
- .o(_al_u2469_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u2470 (
- .a(_al_u2469_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_006 ),
- .o(_al_u2470_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~A*~(E*~C*~B))"),
- .INIT(32'h54005500))
- _al_u2471 (
- .a(_al_u2465_o),
- .b(_al_u2468_o),
- .c(_al_u2470_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u2471_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u2472 (
- .a(_al_u2459_o),
- .b(_al_u2471_o),
- .c(rdaddr[9]),
- .o(_al_u2472_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(E*~C*~B))"),
- .INIT(32'h00540055))
- _al_u2473 (
- .a(_al_u2428_o),
- .b(_al_u2449_o),
- .c(_al_u2472_o),
- .d(rdaddr[11]),
- .e(rdaddr[10]),
- .o(_al_u2473_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2474 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_006 ),
- .o(_al_u2474_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2475 (
- .a(_al_u2474_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_006 ),
- .o(_al_u2475_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2476 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_006 ),
- .o(_al_u2476_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h10111213))
- _al_u2477 (
- .a(_al_u2476_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_006 ),
- .o(_al_u2477_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2478 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_006 ),
- .o(_al_u2478_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h4044484c))
- _al_u2479 (
- .a(_al_u2478_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_006 ),
- .o(_al_u2479_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2480 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_006 ),
- .o(_al_u2480_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2481 (
- .a(_al_u2480_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_006 ),
- .o(_al_u2481_o));
- AL_MAP_LUT5 #(
- .EQN("~(~C*~(D)*~((~(~E*~B)*~A))+~C*D*~((~(~E*~B)*~A))+~(~C)*D*(~(~E*~B)*~A)+~C*D*(~(~E*~B)*~A))"),
- .INIT(32'ha0f5b0f4))
- _al_u2482 (
- .a(_al_u2475_o),
- .b(_al_u2477_o),
- .c(_al_u2479_o),
- .d(_al_u2481_o),
- .e(rdaddr[6]),
- .o(_al_u2482_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u2483 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_006 ),
- .o(_al_u2483_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u2484 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_006 ),
- .o(_al_u2484_o));
- AL_MAP_LUT4 #(
- .EQN("(~((~B*~A))*C*~(D)+(~B*~A)*C*~(D)+~((~B*~A))*~(C)*D+(~B*~A)*~(C)*D+~((~B*~A))*C*D)"),
- .INIT(16'heff0))
- _al_u2485 (
- .a(_al_u2483_o),
- .b(_al_u2484_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2485_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2486 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_006 ),
- .o(_al_u2486_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h10111213))
- _al_u2487 (
- .a(_al_u2486_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_006 ),
- .o(_al_u2487_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2488 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_006 ),
- .o(_al_u2488_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u2489 (
- .a(_al_u2488_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_006 ),
- .o(_al_u2489_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2490 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_006 ),
- .d(rdaddr[5]),
- .o(_al_u2490_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2491 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_006 ),
- .c(_al_u2490_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_54 ));
- AL_MAP_LUT5 #(
- .EQN("~(~B*~(C)*~((~(~E*D)*A))+~B*C*~((~(~E*D)*A))+~(~B)*C*(~(~E*D)*A)+~B*C*(~(~E*D)*A))"),
- .INIT(32'h4e4ecc4e))
- _al_u2492 (
- .a(_al_u2485_o),
- .b(_al_u2487_o),
- .c(_al_u2489_o),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_54 ),
- .e(rdaddr[6]),
- .o(_al_u2492_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0c0a))
- _al_u2493 (
- .a(_al_u2482_o),
- .b(_al_u2492_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u2493_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2494 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_006 ),
- .o(_al_u2494_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2495 (
- .a(_al_u2494_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_006 ),
- .o(_al_u2495_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2496 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_006 ),
- .o(_al_u2496_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2497 (
- .a(_al_u2496_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_006 ),
- .o(_al_u2497_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2498 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_006 ),
- .o(_al_u2498_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2499 (
- .a(_al_u2498_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_006 ),
- .o(_al_u2499_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2500 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_006 ),
- .d(rdaddr[5]),
- .o(_al_u2500_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2501 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_006 ),
- .c(_al_u2500_o),
- .d(rdaddr[5]),
- .o(_al_u2501_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~A*~(E*~D))"),
- .INIT(32'h01000101))
- _al_u2502 (
- .a(_al_u2495_o),
- .b(_al_u2497_o),
- .c(_al_u2499_o),
- .d(_al_u2501_o),
- .e(_al_u674_o),
- .o(_al_u2502_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u2503 (
- .a(_al_u2502_o),
- .b(rdaddr[8]),
- .o(_al_u2503_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2504 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_006 ),
- .d(rdaddr[5]),
- .o(_al_u2504_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2505 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_006 ),
- .c(_al_u2504_o),
- .d(rdaddr[5]),
- .o(_al_u2505_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2506 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_006 ),
- .d(rdaddr[5]),
- .o(_al_u2506_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2507 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_006 ),
- .c(_al_u2506_o),
- .d(rdaddr[5]),
- .o(_al_u2507_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcfa0))
- _al_u2508 (
- .a(_al_u2505_o),
- .b(_al_u2507_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2508_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2509 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_006 ),
- .d(rdaddr[5]),
- .o(_al_u2509_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2510 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_006 ),
- .c(_al_u2509_o),
- .d(rdaddr[5]),
- .o(_al_u2510_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2511 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_006 ),
- .d(rdaddr[5]),
- .o(_al_u2511_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2512 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_006 ),
- .c(_al_u2511_o),
- .d(rdaddr[5]),
- .o(_al_u2512_o));
- AL_MAP_LUT5 #(
- .EQN("(D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*~(B)*~(C)*E+~(A)*B*~(C)*E+~(A)*~(B)*C*E+~(A)*B*C*E))"),
- .INIT(32'h55001b00))
- _al_u2513 (
- .a(_al_u2508_o),
- .b(_al_u2510_o),
- .c(_al_u2512_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u2513_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~A*~(E*~C*~B))"),
- .INIT(32'h54005500))
- _al_u2514 (
- .a(_al_u2493_o),
- .b(_al_u2503_o),
- .c(_al_u2513_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u2514_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2515 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_006 ),
- .d(rdaddr[5]),
- .o(_al_u2515_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2516 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_006 ),
- .c(_al_u2515_o),
- .d(rdaddr[5]),
- .o(_al_u2516_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2517 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_006 ),
- .d(rdaddr[5]),
- .o(_al_u2517_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2518 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_006 ),
- .c(_al_u2517_o),
- .d(rdaddr[5]),
- .o(_al_u2518_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'haffc))
- _al_u2519 (
- .a(_al_u2516_o),
- .b(_al_u2518_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2519_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2520 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_006 ),
- .o(_al_u2520_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2521 (
- .a(_al_u2520_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_006 ),
- .o(_al_u2521_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2522 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_006 ),
- .d(rdaddr[5]),
- .o(_al_u2522_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2523 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_006 ),
- .c(_al_u2522_o),
- .d(rdaddr[5]),
- .o(_al_u2523_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~B*A*~(D*~C)))"),
- .INIT(32'h0000dfdd))
- _al_u2524 (
- .a(_al_u2519_o),
- .b(_al_u2521_o),
- .c(_al_u2523_o),
- .d(_al_u671_o),
- .e(rdaddr[8]),
- .o(_al_u2524_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2525 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_006 ),
- .o(_al_u2525_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2526 (
- .a(_al_u2525_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_006 ),
- .o(_al_u2526_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2527 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_006 ),
- .d(rdaddr[5]),
- .o(_al_u2527_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2528 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_006 ),
- .c(_al_u2527_o),
- .d(rdaddr[5]),
- .o(_al_u2528_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*~B))"),
- .INIT(8'h45))
- _al_u2529 (
- .a(_al_u2526_o),
- .b(_al_u2528_o),
- .c(_al_u674_o),
- .o(_al_u2529_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2530 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_006 ),
- .o(_al_u2530_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2531 (
- .a(_al_u2530_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_006 ),
- .o(_al_u2531_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2532 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_006 ),
- .d(rdaddr[5]),
- .o(_al_u2532_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2533 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_006 ),
- .c(_al_u2532_o),
- .d(rdaddr[5]),
- .o(_al_u2533_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~B*A*~(D*~C)))"),
- .INIT(32'hdfdd0000))
- _al_u2534 (
- .a(_al_u2529_o),
- .b(_al_u2531_o),
- .c(_al_u2533_o),
- .d(_al_u668_o),
- .e(rdaddr[8]),
- .o(_al_u2534_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(D*~B*~A))"),
- .INIT(16'h0e0f))
- _al_u2535 (
- .a(_al_u2524_o),
- .b(_al_u2534_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .o(_al_u2535_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2536 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_006 ),
- .o(_al_u2536_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
- .INIT(16'h4567))
- _al_u2537 (
- .a(_al_u2536_o),
- .b(rdaddr[5]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_006 ),
- .o(_al_u2537_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2538 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_006 ),
- .d(rdaddr[5]),
- .o(_al_u2538_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2539 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_006 ),
- .c(_al_u2538_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_37 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2540 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_006 ),
- .d(rdaddr[5]),
- .o(_al_u2540_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2541 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_006 ),
- .c(_al_u2540_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_36 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2542 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_006 ),
- .d(rdaddr[5]),
- .o(_al_u2542_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2543 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_006 ),
- .c(_al_u2542_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_38 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2544 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_36 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_38 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2544_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
- .INIT(16'h5cf0))
- _al_u2545 (
- .a(_al_u2537_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_37 ),
- .c(_al_u2544_o),
- .d(rdaddr[6]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B3_9 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2546 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B3_9 ),
- .b(rdaddr[8]),
- .o(_al_u2546_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2547 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_006 ),
- .o(_al_u2547_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2548 (
- .a(_al_u2547_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_006 ),
- .o(_al_u2548_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2549 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_006 ),
- .o(_al_u2549_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2550 (
- .a(_al_u2549_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_006 ),
- .o(_al_u2550_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2551 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_006 ),
- .d(rdaddr[5]),
- .o(_al_u2551_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2552 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_006 ),
- .c(_al_u2551_o),
- .d(rdaddr[5]),
- .o(_al_u2552_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*~C))"),
- .INIT(16'h1011))
- _al_u2553 (
- .a(_al_u2548_o),
- .b(_al_u2550_o),
- .c(_al_u2552_o),
- .d(_al_u671_o),
- .o(_al_u2553_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2554 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_006 ),
- .d(rdaddr[5]),
- .o(_al_u2554_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2555 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_006 ),
- .c(_al_u2554_o),
- .d(rdaddr[5]),
- .o(_al_u2555_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(~E*~(A*~(C*~B))))"),
- .INIT(32'h00ff008a))
- _al_u2556 (
- .a(_al_u2553_o),
- .b(_al_u2555_o),
- .c(_al_u674_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2556_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~A*~(B*~(D*~C)))"),
- .INIT(32'h15110000))
- _al_u2557 (
- .a(_al_u2514_o),
- .b(_al_u2535_o),
- .c(_al_u2546_o),
- .d(_al_u2556_o),
- .e(rdaddr[11]),
- .o(_al_u2557_o));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'haad8))
- _al_u2558 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_006 ),
- .d(rdaddr[5]),
- .o(_al_u2558_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u2559 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_006 ),
- .c(_al_u2558_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_2 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2560 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_006 ),
- .d(rdaddr[5]),
- .o(_al_u2560_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2561 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_006 ),
- .c(_al_u2560_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_3 ));
- AL_MAP_LUT4 #(
- .EQN("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'hc0a0))
- _al_u2562 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_2 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_3 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2562_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2563 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_006 ),
- .d(rdaddr[5]),
- .o(_al_u2563_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2564 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_006 ),
- .c(_al_u2563_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_4 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2565 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_006 ),
- .d(rdaddr[5]),
- .o(_al_u2565_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2566 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_006 ),
- .c(_al_u2565_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_5 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0c0a))
- _al_u2567 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_4 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_5 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2567_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2568 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_006 ),
- .d(rdaddr[5]),
- .o(_al_u2568_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2569 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_006 ),
- .c(_al_u2568_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_7 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2570 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_006 ),
- .d(rdaddr[5]),
- .o(_al_u2570_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2571 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_006 ),
- .c(_al_u2570_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_6 ));
- AL_MAP_LUT4 #(
- .EQN("(C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'ha0c0))
- _al_u2572 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_7 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_6 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2572_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2573 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_006 ),
- .d(rdaddr[5]),
- .o(_al_u2573_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2574 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_006 ),
- .c(_al_u2573_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_0 ));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u2575 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_006 ),
- .o(_al_u2575_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u2576 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_006 ),
- .o(_al_u2576_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'h000300aa))
- _al_u2577 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_0 ),
- .b(_al_u2575_o),
- .c(_al_u2576_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2577_o));
- AL_MAP_LUT5 #(
- .EQN("~((~D*~A)*~((~C*~B))*~(E)+(~D*~A)*(~C*~B)*~(E)+~((~D*~A))*(~C*~B)*E+(~D*~A)*(~C*~B)*E)"),
- .INIT(32'hfcfcffaa))
- _al_u2578 (
- .a(_al_u2562_o),
- .b(_al_u2567_o),
- .c(_al_u2572_o),
- .d(_al_u2577_o),
- .e(rdaddr[8]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B4_0 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2579 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_006 ),
- .d(rdaddr[5]),
- .o(_al_u2579_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2580 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_006 ),
- .c(_al_u2579_o),
- .d(rdaddr[5]),
- .o(_al_u2580_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2581 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_006 ),
- .d(rdaddr[5]),
- .o(_al_u2581_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2582 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_006 ),
- .c(_al_u2581_o),
- .d(rdaddr[5]),
- .o(_al_u2582_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hfc0a))
- _al_u2583 (
- .a(_al_u2580_o),
- .b(_al_u2582_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2583_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2584 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_006 ),
- .d(rdaddr[5]),
- .o(_al_u2584_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2585 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_006 ),
- .c(_al_u2584_o),
- .d(rdaddr[5]),
- .o(_al_u2585_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2586 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_006 ),
- .d(rdaddr[5]),
- .o(_al_u2586_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2587 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_006 ),
- .c(_al_u2586_o),
- .d(rdaddr[5]),
- .o(_al_u2587_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+A*~(B)*C*~(E)+A*B*C*~(E)+A*B*~(C)*E+~(A)*~(B)*C*E+~(A)*B*C*E+A*B*C*E))"),
- .INIT(32'h00d800aa))
- _al_u2588 (
- .a(_al_u2583_o),
- .b(_al_u2585_o),
- .c(_al_u2587_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u2588_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2589 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_006 ),
- .d(rdaddr[5]),
- .o(_al_u2589_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2590 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_006 ),
- .c(_al_u2589_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_14 ));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u2591 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_006 ),
- .o(_al_u2591_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u2592 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_006 ),
- .o(_al_u2592_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~((~C*~B))*D*~(E)+~(A)*(~C*~B)*D*~(E)+~(A)*~((~C*~B))*~(D)*E+A*~((~C*~B))*~(D)*E+~(A)*(~C*~B)*~(D)*E+A*(~C*~B)*~(D)*E+~(A)*~((~C*~B))*D*E+A*~((~C*~B))*D*E)"),
- .INIT(32'hfcff5500))
- _al_u2593 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_14 ),
- .b(_al_u2591_o),
- .c(_al_u2592_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2593_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2594 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_006 ),
- .d(rdaddr[5]),
- .o(_al_u2594_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2595 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_006 ),
- .c(_al_u2594_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_12 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2596 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_006 ),
- .d(rdaddr[5]),
- .o(_al_u2596_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2597 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_006 ),
- .c(_al_u2596_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_13 ));
- AL_MAP_LUT5 #(
- .EQN("(D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+A*~(B)*~(C)*E+A*B*~(C)*E+A*~(B)*C*E+A*B*C*E))"),
- .INIT(32'haa001b00))
- _al_u2598 (
- .a(_al_u2593_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_12 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_13 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u2598_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'h000300aa))
- _al_u2599 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B4_0 ),
- .b(_al_u2588_o),
- .c(_al_u2598_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u2599_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2600 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_006 ),
- .o(_al_u2600_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2601 (
- .a(_al_u2600_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_006 ),
- .o(_al_u2601_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2602 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_006 ),
- .d(rdaddr[5]),
- .o(_al_u2602_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2603 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_006 ),
- .c(_al_u2602_o),
- .d(rdaddr[5]),
- .o(_al_u2603_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*~(~C*B)))"),
- .INIT(16'h5504))
- _al_u2604 (
- .a(_al_u2601_o),
- .b(_al_u2603_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2604_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2605 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_006 ),
- .d(rdaddr[5]),
- .o(_al_u2605_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2606 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_006 ),
- .c(_al_u2605_o),
- .d(rdaddr[5]),
- .o(_al_u2606_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2607 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_006 ),
- .d(rdaddr[5]),
- .o(_al_u2607_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2608 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_006 ),
- .c(_al_u2607_o),
- .d(rdaddr[5]),
- .o(_al_u2608_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+A*~(B)*C*~(E)+A*B*C*~(E)+~(A)*B*~(C)*E+A*~(B)*C*E+~(A)*B*C*E+A*B*C*E))"),
- .INIT(32'h00e400aa))
- _al_u2609 (
- .a(_al_u2604_o),
- .b(_al_u2606_o),
- .c(_al_u2608_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u2609_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2610 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_006 ),
- .d(rdaddr[5]),
- .o(_al_u2610_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2611 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_006 ),
- .c(_al_u2610_o),
- .d(rdaddr[5]),
- .o(_al_u2611_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2612 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_006 ),
- .d(rdaddr[5]),
- .o(_al_u2612_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2613 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_006 ),
- .c(_al_u2612_o),
- .d(rdaddr[5]),
- .o(_al_u2613_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2614 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_006 ),
- .d(rdaddr[5]),
- .o(_al_u2614_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2615 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_006 ),
- .c(_al_u2614_o),
- .d(rdaddr[5]),
- .o(_al_u2615_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2616 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_006 ),
- .d(rdaddr[5]),
- .o(_al_u2616_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2617 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_006 ),
- .c(_al_u2616_o),
- .d(rdaddr[5]),
- .o(_al_u2617_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u2618 (
- .a(_al_u2615_o),
- .b(_al_u2617_o),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u2618_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'hacf0))
- _al_u2619 (
- .a(_al_u2611_o),
- .b(_al_u2613_o),
- .c(_al_u2618_o),
- .d(rdaddr[7]),
- .o(_al_u2619_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~A*~(D*B))"),
- .INIT(16'h0105))
- _al_u2620 (
- .a(_al_u2609_o),
- .b(_al_u2619_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u2620_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2621 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_006 ),
- .o(_al_u2621_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2622 (
- .a(_al_u2621_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_006 ),
- .o(_al_u2622_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2623 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_006 ),
- .o(_al_u2623_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h4044484c))
- _al_u2624 (
- .a(_al_u2623_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_006 ),
- .o(_al_u2624_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2625 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_006 ),
- .o(_al_u2625_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h10111213))
- _al_u2626 (
- .a(_al_u2625_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_006 ),
- .o(_al_u2626_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2627 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_006 ),
- .o(_al_u2627_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u2628 (
- .a(_al_u2627_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_006 ),
- .o(_al_u2628_o));
- AL_MAP_LUT5 #(
- .EQN("~(~C*~(D)*~((~(~E*~B)*~A))+~C*D*~((~(~E*~B)*~A))+~(~C)*D*(~(~E*~B)*~A)+~C*D*(~(~E*~B)*~A))"),
- .INIT(32'ha0f5b0f4))
- _al_u2629 (
- .a(_al_u2622_o),
- .b(_al_u2624_o),
- .c(_al_u2626_o),
- .d(_al_u2628_o),
- .e(rdaddr[6]),
- .o(_al_u2629_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u2630 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_006 ),
- .o(_al_u2630_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u2631 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_006 ),
- .o(_al_u2631_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0c0a))
- _al_u2632 (
- .a(_al_u2630_o),
- .b(_al_u2631_o),
- .c(rdaddr[6]),
- .d(rdaddr[4]),
- .o(_al_u2632_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2633 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_006 ),
- .o(_al_u2633_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2634 (
- .a(_al_u2633_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_006 ),
- .o(_al_u2634_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2635 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_006 ),
- .d(rdaddr[5]),
- .o(_al_u2635_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2636 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_006 ),
- .c(_al_u2635_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_26 ));
- AL_MAP_LUT5 #(
- .EQN("(~((~B*~A))*~(C)*~(D)*~(E)+~((~B*~A))*C*~(D)*~(E)+~((~B*~A))*C*D*~(E)+(~B*~A)*C*D*~(E)+~((~B*~A))*~(C)*~(D)*E+~((~B*~A))*C*~(D)*E+~((~B*~A))*C*D*E)"),
- .INIT(32'he0eef0ee))
- _al_u2637 (
- .a(_al_u2632_o),
- .b(_al_u2634_o),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_26 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2637_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2638 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_006 ),
- .d(rdaddr[5]),
- .o(_al_u2638_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2639 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_006 ),
- .c(_al_u2638_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_27 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2640 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_27 ),
- .b(rdaddr[7]),
- .c(rdaddr[6]),
- .o(_al_u2640_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~((~C*~B)*~(A)*~(E)+(~C*~B)*A*~(E)+~((~C*~B))*A*E+(~C*~B)*A*E))"),
- .INIT(32'h5500fc00))
- _al_u2641 (
- .a(_al_u2629_o),
- .b(_al_u2637_o),
- .c(_al_u2640_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2641_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(E*~(~C*~B)))"),
- .INIT(32'h00010055))
- _al_u2642 (
- .a(_al_u2599_o),
- .b(_al_u2620_o),
- .c(_al_u2641_o),
- .d(rdaddr[11]),
- .e(rdaddr[10]),
- .o(_al_u2642_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2643 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_006 ),
- .d(rdaddr[5]),
- .o(_al_u2643_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2644 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_006 ),
- .c(_al_u2643_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_105 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2645 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_006 ),
- .d(rdaddr[5]),
- .o(_al_u2645_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2646 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_006 ),
- .c(_al_u2645_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_104 ));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u2647 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_105 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_104 ),
- .c(rdaddr[6]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B2_52 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2648 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_006 ),
- .d(rdaddr[5]),
- .o(_al_u2648_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2649 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_006 ),
- .c(_al_u2648_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_107 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2650 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_006 ),
- .d(rdaddr[5]),
- .o(_al_u2650_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2651 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_006 ),
- .c(_al_u2650_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_106 ));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u2652 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_107 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_106 ),
- .c(rdaddr[6]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B2_53 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0c0a))
- _al_u2653 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B2_52 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B2_53 ),
- .c(rdaddr[8]),
- .d(rdaddr[7]),
- .o(_al_u2653_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2654 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_006 ),
- .d(rdaddr[5]),
- .o(_al_u2654_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2655 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_006 ),
- .c(_al_u2654_o),
- .d(rdaddr[5]),
- .o(_al_u2655_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2656 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_006 ),
- .d(rdaddr[5]),
- .o(_al_u2656_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2657 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_006 ),
- .c(_al_u2656_o),
- .d(rdaddr[5]),
- .o(_al_u2657_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0503))
- _al_u2658 (
- .a(_al_u2655_o),
- .b(_al_u2657_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2658_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2659 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_006 ),
- .d(rdaddr[5]),
- .o(_al_u2659_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2660 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_006 ),
- .c(_al_u2659_o),
- .d(rdaddr[5]),
- .o(_al_u2660_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u2661 (
- .a(_al_u2660_o),
- .b(_al_u674_o),
- .o(_al_u2661_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2662 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_006 ),
- .d(rdaddr[5]),
- .o(_al_u2662_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2663 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_006 ),
- .c(_al_u2662_o),
- .d(rdaddr[5]),
- .o(_al_u2663_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~B*~A*~(D*~C)))"),
- .INIT(32'hefee0000))
- _al_u2664 (
- .a(_al_u2658_o),
- .b(_al_u2661_o),
- .c(_al_u2663_o),
- .d(_al_u671_o),
- .e(rdaddr[8]),
- .o(_al_u2664_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(D*~(~B*~A)))"),
- .INIT(16'h010f))
- _al_u2665 (
- .a(_al_u2653_o),
- .b(_al_u2664_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .o(_al_u2665_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2666 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_006 ),
- .d(rdaddr[5]),
- .o(_al_u2666_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2667 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_006 ),
- .c(_al_u2666_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_118 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2668 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_006 ),
- .d(rdaddr[5]),
- .o(_al_u2668_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2669 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_006 ),
- .c(_al_u2668_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_119 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h3f50))
- _al_u2670 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_118 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_119 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2670_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2671 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_006 ),
- .d(rdaddr[5]),
- .o(_al_u2671_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2672 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_006 ),
- .c(_al_u2671_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_116 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2673 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_006 ),
- .d(rdaddr[5]),
- .o(_al_u2673_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2674 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_006 ),
- .c(_al_u2673_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_117 ));
- AL_MAP_LUT5 #(
- .EQN("(D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+A*~(B)*~(C)*E+A*B*~(C)*E+A*~(B)*C*E+A*B*C*E))"),
- .INIT(32'haa001b00))
- _al_u2675 (
- .a(_al_u2670_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_116 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_117 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u2675_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2676 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_006 ),
- .d(rdaddr[5]),
- .o(_al_u2676_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2677 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_006 ),
- .c(_al_u2676_o),
- .d(rdaddr[5]),
- .o(_al_u2677_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*~A))"),
- .INIT(8'h0b))
- _al_u2678 (
- .a(_al_u2677_o),
- .b(_al_u678_o),
- .c(rdaddr[8]),
- .o(_al_u2678_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2679 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_006 ),
- .o(_al_u2679_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2680 (
- .a(_al_u2679_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_006 ),
- .o(_al_u2680_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2681 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_006 ),
- .o(_al_u2681_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2682 (
- .a(_al_u2681_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_006 ),
- .o(_al_u2682_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2683 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_006 ),
- .d(rdaddr[5]),
- .o(_al_u2683_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2684 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_006 ),
- .c(_al_u2683_o),
- .d(rdaddr[5]),
- .o(_al_u2684_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u2685 (
- .a(_al_u2678_o),
- .b(_al_u2680_o),
- .c(_al_u2682_o),
- .d(_al_u2684_o),
- .e(_al_u668_o),
- .o(_al_u2685_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~D*~B*~A))"),
- .INIT(16'hf0e0))
- _al_u2686 (
- .a(_al_u2675_o),
- .b(_al_u2685_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .o(_al_u2686_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2687 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_006 ),
- .d(rdaddr[5]),
- .o(_al_u2687_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2688 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_006 ),
- .c(_al_u2687_o),
- .d(rdaddr[5]),
- .o(_al_u2688_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*~A))"),
- .INIT(8'h0b))
- _al_u2689 (
- .a(_al_u2688_o),
- .b(_al_u671_o),
- .c(rdaddr[8]),
- .o(_al_u2689_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2690 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_006 ),
- .o(_al_u2690_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2691 (
- .a(_al_u2690_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_006 ),
- .o(_al_u2691_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2692 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_006 ),
- .o(_al_u2692_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2693 (
- .a(_al_u2692_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_006 ),
- .o(_al_u2693_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2694 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_006 ),
- .d(rdaddr[5]),
- .o(_al_u2694_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2695 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_006 ),
- .c(_al_u2694_o),
- .d(rdaddr[5]),
- .o(_al_u2695_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u2696 (
- .a(_al_u2689_o),
- .b(_al_u2691_o),
- .c(_al_u2693_o),
- .d(_al_u2695_o),
- .e(_al_u678_o),
- .o(_al_u2696_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2697 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_006 ),
- .d(rdaddr[5]),
- .o(_al_u2697_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2698 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_006 ),
- .c(_al_u2697_o),
- .d(rdaddr[5]),
- .o(_al_u2698_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2699 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_006 ),
- .d(rdaddr[5]),
- .o(_al_u2699_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2700 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_006 ),
- .c(_al_u2699_o),
- .d(rdaddr[5]),
- .o(_al_u2700_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2701 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_006 ),
- .d(rdaddr[5]),
- .o(_al_u2701_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2702 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_006 ),
- .c(_al_u2701_o),
- .d(rdaddr[5]),
- .o(_al_u2702_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hccf0ffaa))
- _al_u2703 (
- .a(_al_u2698_o),
- .b(_al_u2700_o),
- .c(_al_u2702_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2703_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2704 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_006 ),
- .o(_al_u2704_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2705 (
- .a(_al_u2704_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_006 ),
- .o(_al_u2705_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~A*~(E*~C*B))"),
- .INIT(32'h51005500))
- _al_u2706 (
- .a(_al_u2696_o),
- .b(_al_u2703_o),
- .c(_al_u2705_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2706_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2707 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_006 ),
- .o(_al_u2707_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2708 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_006 ),
- .o(_al_u2708_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(C*~(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'h00af00cf))
- _al_u2709 (
- .a(_al_u2707_o),
- .b(_al_u2708_o),
- .c(_al_u678_o),
- .d(rdaddr[8]),
- .e(rdaddr[4]),
- .o(_al_u2709_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2710 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_006 ),
- .o(_al_u2710_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2711 (
- .a(_al_u2710_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_006 ),
- .o(_al_u2711_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2712 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_006 ),
- .o(_al_u2712_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2713 (
- .a(_al_u2712_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_006 ),
- .o(_al_u2713_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2714 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_006 ),
- .d(rdaddr[5]),
- .o(_al_u2714_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2715 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_006 ),
- .c(_al_u2714_o),
- .d(rdaddr[5]),
- .o(_al_u2715_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u2716 (
- .a(_al_u2709_o),
- .b(_al_u2711_o),
- .c(_al_u2713_o),
- .d(_al_u2715_o),
- .e(_al_u668_o),
- .o(_al_u2716_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2717 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_006 ),
- .d(rdaddr[5]),
- .o(_al_u2717_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2718 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_006 ),
- .c(_al_u2717_o),
- .d(rdaddr[5]),
- .o(_al_u2718_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2719 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_006 ),
- .d(rdaddr[5]),
- .o(_al_u2719_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2720 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_006 ),
- .c(_al_u2719_o),
- .d(rdaddr[5]),
- .o(_al_u2720_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2721 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_006 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_006 ),
- .d(rdaddr[5]),
- .o(_al_u2721_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2722 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_006 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_006 ),
- .c(_al_u2721_o),
- .d(rdaddr[5]),
- .o(_al_u2722_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+A*~(B)*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hccf0aaff))
- _al_u2723 (
- .a(_al_u2718_o),
- .b(_al_u2720_o),
- .c(_al_u2722_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2723_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2724 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_006 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_006 ),
- .o(_al_u2724_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2725 (
- .a(_al_u2724_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_006 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_006 ),
- .o(_al_u2725_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(E*~C*B))"),
- .INIT(32'h00510055))
- _al_u2726 (
- .a(_al_u2716_o),
- .b(_al_u2723_o),
- .c(_al_u2725_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2726_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~(~C*B)*~(~D*A)))"),
- .INIT(32'h0cae0000))
- _al_u2727 (
- .a(_al_u2665_o),
- .b(_al_u2686_o),
- .c(_al_u2706_o),
- .d(_al_u2726_o),
- .e(rdaddr[11]),
- .o(_al_u2727_o));
- AL_MAP_LUT5 #(
- .EQN("((~C*~B)*~((~D*~A))*~(E)+(~C*~B)*(~D*~A)*~(E)+~((~C*~B))*(~D*~A)*E+(~C*~B)*(~D*~A)*E)"),
- .INIT(32'h00550303))
- _al_u2728 (
- .a(_al_u2473_o),
- .b(_al_u2557_o),
- .c(_al_u2642_o),
- .d(_al_u2727_o),
- .e(rdaddr[12]),
- .o(\FM_Dump_Data_IQ/n62 [6]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2729 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_005 ),
- .d(rdaddr[5]),
- .o(_al_u2729_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2730 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_005 ),
- .c(_al_u2729_o),
- .d(rdaddr[5]),
- .o(_al_u2730_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*~A))"),
- .INIT(8'h0b))
- _al_u2731 (
- .a(_al_u2730_o),
- .b(_al_u678_o),
- .c(rdaddr[8]),
- .o(_al_u2731_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2732 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_005 ),
- .o(_al_u2732_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2733 (
- .a(_al_u2732_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_005 ),
- .o(_al_u2733_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2734 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_005 ),
- .o(_al_u2734_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2735 (
- .a(_al_u2734_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_005 ),
- .o(_al_u2735_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2736 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_005 ),
- .d(rdaddr[5]),
- .o(_al_u2736_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2737 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_005 ),
- .c(_al_u2736_o),
- .d(rdaddr[5]),
- .o(_al_u2737_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u2738 (
- .a(_al_u2731_o),
- .b(_al_u2733_o),
- .c(_al_u2735_o),
- .d(_al_u2737_o),
- .e(_al_u674_o),
- .o(_al_u2738_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2739 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_005 ),
- .d(rdaddr[5]),
- .o(_al_u2739_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2740 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_005 ),
- .c(_al_u2739_o),
- .d(rdaddr[5]),
- .o(_al_u2740_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2741 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_005 ),
- .d(rdaddr[5]),
- .o(_al_u2741_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2742 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_005 ),
- .c(_al_u2741_o),
- .d(rdaddr[5]),
- .o(_al_u2742_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2743 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_005 ),
- .d(rdaddr[5]),
- .o(_al_u2743_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2744 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_005 ),
- .c(_al_u2743_o),
- .d(rdaddr[5]),
- .o(_al_u2744_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'haaf0ccff))
- _al_u2745 (
- .a(_al_u2740_o),
- .b(_al_u2742_o),
- .c(_al_u2744_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2745_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2746 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_005 ),
- .d(rdaddr[5]),
- .o(_al_u2746_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2747 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_005 ),
- .c(_al_u2746_o),
- .d(rdaddr[5]),
- .o(_al_u2747_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u2748 (
- .a(_al_u2747_o),
- .b(_al_u678_o),
- .c(rdaddr[8]),
- .o(_al_u2748_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~A*~(C*B)))"),
- .INIT(16'h00ea))
- _al_u2749 (
- .a(_al_u2738_o),
- .b(_al_u2745_o),
- .c(_al_u2748_o),
- .d(rdaddr[9]),
- .o(_al_u2749_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2750 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_005 ),
- .o(_al_u2750_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2751 (
- .a(_al_u2750_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_005 ),
- .o(_al_u2751_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2752 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_005 ),
- .d(rdaddr[5]),
- .o(_al_u2752_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2753 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_005 ),
- .c(_al_u2752_o),
- .d(rdaddr[5]),
- .o(_al_u2753_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2754 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_005 ),
- .d(rdaddr[5]),
- .o(_al_u2754_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2755 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_005 ),
- .c(_al_u2754_o),
- .d(rdaddr[5]),
- .o(_al_u2755_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*~(B*~(C)*~(E)+B*C*~(E)+~(B)*C*E+B*C*E)))"),
- .INIT(32'h50554455))
- _al_u2756 (
- .a(_al_u2751_o),
- .b(_al_u2753_o),
- .c(_al_u2755_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2756_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2757 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_005 ),
- .d(rdaddr[5]),
- .o(_al_u2757_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2758 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_005 ),
- .c(_al_u2757_o),
- .d(rdaddr[5]),
- .o(_al_u2758_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(A*~(C*~B)))"),
- .INIT(16'h0075))
- _al_u2759 (
- .a(_al_u2756_o),
- .b(_al_u2758_o),
- .c(_al_u668_o),
- .d(rdaddr[8]),
- .o(_al_u2759_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2760 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_005 ),
- .d(rdaddr[5]),
- .o(_al_u2760_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2761 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_005 ),
- .c(_al_u2760_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_60 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2762 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_005 ),
- .d(rdaddr[5]),
- .o(_al_u2762_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2763 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_005 ),
- .c(_al_u2762_o),
- .d(rdaddr[5]),
- .o(_al_u2763_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
- .INIT(16'h0c05))
- _al_u2764 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_60 ),
- .b(_al_u2763_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2764_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2765 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_005 ),
- .d(rdaddr[5]),
- .o(_al_u2765_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2766 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_005 ),
- .c(_al_u2765_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_63 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2767 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_005 ),
- .d(rdaddr[5]),
- .o(_al_u2767_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2768 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_005 ),
- .c(_al_u2767_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_62 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u2769 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_63 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_62 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2769_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(D*~B*~A))"),
- .INIT(16'he0f0))
- _al_u2770 (
- .a(_al_u2764_o),
- .b(_al_u2769_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u2770_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~A*~(C*~B)))"),
- .INIT(32'hba00ff00))
- _al_u2771 (
- .a(_al_u2749_o),
- .b(_al_u2759_o),
- .c(_al_u2770_o),
- .d(rdaddr[11]),
- .e(rdaddr[10]),
- .o(_al_u2771_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2772 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_005 ),
- .o(_al_u2772_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2773 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_005 ),
- .o(_al_u2773_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(C*~(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'haf00cf00))
- _al_u2774 (
- .a(_al_u2772_o),
- .b(_al_u2773_o),
- .c(_al_u671_o),
- .d(rdaddr[8]),
- .e(rdaddr[4]),
- .o(_al_u2774_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2775 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_005 ),
- .o(_al_u2775_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2776 (
- .a(_al_u2775_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_005 ),
- .o(_al_u2776_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2777 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_005 ),
- .o(_al_u2777_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2778 (
- .a(_al_u2777_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_005 ),
- .o(_al_u2778_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2779 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_005 ),
- .d(rdaddr[5]),
- .o(_al_u2779_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2780 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_005 ),
- .c(_al_u2779_o),
- .d(rdaddr[5]),
- .o(_al_u2780_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u2781 (
- .a(_al_u2774_o),
- .b(_al_u2776_o),
- .c(_al_u2778_o),
- .d(_al_u2780_o),
- .e(_al_u678_o),
- .o(_al_u2781_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2782 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_005 ),
- .d(rdaddr[5]),
- .o(_al_u2782_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2783 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_005 ),
- .c(_al_u2782_o),
- .d(rdaddr[5]),
- .o(_al_u2783_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2784 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_005 ),
- .d(rdaddr[5]),
- .o(_al_u2784_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2785 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_005 ),
- .c(_al_u2784_o),
- .d(rdaddr[5]),
- .o(_al_u2785_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2786 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_005 ),
- .d(rdaddr[5]),
- .o(_al_u2786_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2787 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_005 ),
- .c(_al_u2786_o),
- .d(rdaddr[5]),
- .o(_al_u2787_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hf0ccffaa))
- _al_u2788 (
- .a(_al_u2783_o),
- .b(_al_u2785_o),
- .c(_al_u2787_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2788_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2789 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_005 ),
- .o(_al_u2789_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2790 (
- .a(_al_u2789_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_005 ),
- .o(_al_u2790_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(~A*~(~E*~C*B)))"),
- .INIT(32'haa00ae00))
- _al_u2791 (
- .a(_al_u2781_o),
- .b(_al_u2788_o),
- .c(_al_u2790_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2791_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2792 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_005 ),
- .o(_al_u2792_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2793 (
- .a(_al_u2792_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_005 ),
- .o(_al_u2793_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2794 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_005 ),
- .d(rdaddr[5]),
- .o(_al_u2794_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2795 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_005 ),
- .c(_al_u2794_o),
- .d(rdaddr[5]),
- .o(_al_u2795_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2796 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_005 ),
- .d(rdaddr[5]),
- .o(_al_u2796_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2797 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_005 ),
- .c(_al_u2796_o),
- .d(rdaddr[5]),
- .o(_al_u2797_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*~(B*~(C)*~(E)+B*C*~(E)+~(B)*C*E+B*C*E)))"),
- .INIT(32'h50554455))
- _al_u2798 (
- .a(_al_u2793_o),
- .b(_al_u2795_o),
- .c(_al_u2797_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2798_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2799 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_005 ),
- .d(rdaddr[5]),
- .o(_al_u2799_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2800 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_005 ),
- .c(_al_u2799_o),
- .d(rdaddr[5]),
- .o(_al_u2800_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(A*~(C*~B)))"),
- .INIT(16'h0075))
- _al_u2801 (
- .a(_al_u2798_o),
- .b(_al_u2800_o),
- .c(_al_u668_o),
- .d(rdaddr[8]),
- .o(_al_u2801_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2802 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_005 ),
- .d(rdaddr[6]),
- .o(_al_u2802_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2803 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_005 ),
- .c(_al_u2802_o),
- .d(rdaddr[6]),
- .o(_al_u2803_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2804 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_005 ),
- .d(rdaddr[6]),
- .o(_al_u2804_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2805 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_005 ),
- .c(_al_u2804_o),
- .d(rdaddr[6]),
- .o(_al_u2805_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u2806 (
- .a(_al_u2803_o),
- .b(_al_u2805_o),
- .c(rdaddr[7]),
- .d(rdaddr[4]),
- .o(_al_u2806_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2807 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_005 ),
- .d(rdaddr[5]),
- .o(_al_u2807_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2808 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_005 ),
- .c(_al_u2807_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_21 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2809 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_005 ),
- .d(rdaddr[5]),
- .o(_al_u2809_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2810 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_005 ),
- .c(_al_u2809_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_20 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0503))
- _al_u2811 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_21 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_20 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2811_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(D*~B*~A))"),
- .INIT(16'h0e0f))
- _al_u2812 (
- .a(_al_u2806_o),
- .b(_al_u2811_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u2812_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(E*~A*~(C*~B)))"),
- .INIT(32'h00ba00ff))
- _al_u2813 (
- .a(_al_u2791_o),
- .b(_al_u2801_o),
- .c(_al_u2812_o),
- .d(rdaddr[11]),
- .e(rdaddr[10]),
- .o(_al_u2813_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2814 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_005 ),
- .o(_al_u2814_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u2815 (
- .a(_al_u2814_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_005 ),
- .o(_al_u2815_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2816 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_005 ),
- .d(rdaddr[5]),
- .o(_al_u2816_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2817 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_005 ),
- .c(_al_u2816_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_35 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(D*B))"),
- .INIT(16'h1050))
- _al_u2818 (
- .a(_al_u2815_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_35 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2818_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2819 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_005 ),
- .d(rdaddr[5]),
- .o(_al_u2819_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2820 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_005 ),
- .c(_al_u2819_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_32 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2821 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_005 ),
- .d(rdaddr[5]),
- .o(_al_u2821_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2822 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_005 ),
- .c(_al_u2821_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_33 ));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(~D*~(A*~(B)*~(E)+A*B*~(E)+~(A)*B*E+A*B*E)))"),
- .INIT(32'h0f0c0f0a))
- _al_u2823 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_32 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_33 ),
- .c(rdaddr[8]),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2823_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*~A))"),
- .INIT(8'h0b))
- _al_u2824 (
- .a(_al_u2818_o),
- .b(_al_u2823_o),
- .c(rdaddr[9]),
- .o(_al_u2824_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2825 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_005 ),
- .d(rdaddr[5]),
- .o(_al_u2825_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2826 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_005 ),
- .c(_al_u2825_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_43 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2827 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_005 ),
- .d(rdaddr[5]),
- .o(_al_u2827_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2828 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_005 ),
- .c(_al_u2827_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_42 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u2829 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_43 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_42 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2829_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2830 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_005 ),
- .d(rdaddr[5]),
- .o(_al_u2830_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2831 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_005 ),
- .c(_al_u2830_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_41 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2832 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_005 ),
- .d(rdaddr[5]),
- .o(_al_u2832_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2833 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_005 ),
- .c(_al_u2832_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_40 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0503))
- _al_u2834 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_41 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_40 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2834_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~D*~B*~A))"),
- .INIT(16'hf0e0))
- _al_u2835 (
- .a(_al_u2829_o),
- .b(_al_u2834_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u2835_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2836 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_005 ),
- .d(rdaddr[5]),
- .o(_al_u2836_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2837 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_005 ),
- .c(_al_u2836_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_47 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2838 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_005 ),
- .d(rdaddr[5]),
- .o(_al_u2838_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2839 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_005 ),
- .c(_al_u2838_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_46 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u2840 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_47 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_46 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2840_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2841 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_005 ),
- .d(rdaddr[5]),
- .o(_al_u2841_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2842 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_005 ),
- .c(_al_u2841_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_45 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u2843 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_005 ),
- .o(_al_u2843_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u2844 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_005 ),
- .o(_al_u2844_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~((~C*~B)*~(A)*~(E)+(~C*~B)*A*~(E)+~((~C*~B))*A*E+(~C*~B)*A*E))"),
- .INIT(32'h005500fc))
- _al_u2845 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_45 ),
- .b(_al_u2843_o),
- .c(_al_u2844_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2845_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u2846 (
- .a(_al_u2840_o),
- .b(_al_u2845_o),
- .c(rdaddr[8]),
- .o(_al_u2846_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u2847 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_005 ),
- .o(_al_u2847_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u2848 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_005 ),
- .o(_al_u2848_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(D*~B*~A))"),
- .INIT(16'he0f0))
- _al_u2849 (
- .a(_al_u2847_o),
- .b(_al_u2848_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2849_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u2850 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_005 ),
- .o(_al_u2850_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u2851 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_005 ),
- .o(_al_u2851_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(D*~B*~A))"),
- .INIT(16'h0e0f))
- _al_u2852 (
- .a(_al_u2850_o),
- .b(_al_u2851_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2852_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2853 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_005 ),
- .o(_al_u2853_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u2854 (
- .a(_al_u2853_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_005 ),
- .o(_al_u2854_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2855 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_005 ),
- .o(_al_u2855_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u2856 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_005 ),
- .o(_al_u2856_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0305))
- _al_u2857 (
- .a(_al_u2855_o),
- .b(_al_u2856_o),
- .c(rdaddr[6]),
- .d(rdaddr[5]),
- .o(_al_u2857_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~D*B)*~(~C*A))"),
- .INIT(32'hf5310000))
- _al_u2858 (
- .a(_al_u2849_o),
- .b(_al_u2852_o),
- .c(_al_u2854_o),
- .d(_al_u2857_o),
- .e(rdaddr[8]),
- .o(_al_u2858_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~C*B)*~(~D*A))"),
- .INIT(32'h0000f351))
- _al_u2859 (
- .a(_al_u2824_o),
- .b(_al_u2835_o),
- .c(_al_u2846_o),
- .d(_al_u2858_o),
- .e(rdaddr[10]),
- .o(_al_u2859_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2860 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_005 ),
- .d(rdaddr[5]),
- .o(_al_u2860_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2861 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_005 ),
- .c(_al_u2860_o),
- .d(rdaddr[5]),
- .o(_al_u2861_o));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'haad8))
- _al_u2862 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_005 ),
- .d(rdaddr[5]),
- .o(_al_u2862_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h350f))
- _al_u2863 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_005 ),
- .c(_al_u2862_o),
- .d(rdaddr[5]),
- .o(_al_u2863_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u2864 (
- .a(_al_u2861_o),
- .b(_al_u2863_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2864_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2865 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_005 ),
- .o(_al_u2865_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2866 (
- .a(_al_u2865_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_005 ),
- .o(_al_u2866_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2867 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_005 ),
- .d(rdaddr[5]),
- .o(_al_u2867_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2868 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_005 ),
- .c(_al_u2867_o),
- .d(rdaddr[5]),
- .o(_al_u2868_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*~C))"),
- .INIT(16'h1011))
- _al_u2869 (
- .a(_al_u2864_o),
- .b(_al_u2866_o),
- .c(_al_u2868_o),
- .d(_al_u668_o),
- .o(_al_u2869_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2870 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_005 ),
- .d(rdaddr[5]),
- .o(_al_u2870_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2871 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_005 ),
- .c(_al_u2870_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_6 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2872 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_005 ),
- .d(rdaddr[5]),
- .o(_al_u2872_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2873 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_005 ),
- .c(_al_u2872_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_7 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2874 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_005 ),
- .d(rdaddr[5]),
- .o(_al_u2874_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2875 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_005 ),
- .c(_al_u2874_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_4 ));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h33ff550f))
- _al_u2876 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_6 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_7 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_4 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2876_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2877 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_005 ),
- .d(rdaddr[5]),
- .o(_al_u2877_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2878 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_005 ),
- .c(_al_u2877_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_5 ));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u2879 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_5 ),
- .b(rdaddr[7]),
- .c(rdaddr[6]),
- .o(_al_u2879_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~((~C*B))*~(E)+A*(~C*B)*~(E)+~(A)*(~C*B)*E+A*(~C*B)*E))"),
- .INIT(32'h000c00aa))
- _al_u2880 (
- .a(_al_u2869_o),
- .b(_al_u2876_o),
- .c(_al_u2879_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2880_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2881 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_005 ),
- .o(_al_u2881_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
- .INIT(16'h4567))
- _al_u2882 (
- .a(_al_u2881_o),
- .b(rdaddr[5]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_005 ),
- .o(_al_u2882_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2883 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_005 ),
- .d(rdaddr[5]),
- .o(_al_u2883_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2884 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_005 ),
- .c(_al_u2883_o),
- .d(rdaddr[5]),
- .o(_al_u2884_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2885 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_005 ),
- .d(rdaddr[5]),
- .o(_al_u2885_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2886 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_005 ),
- .c(_al_u2885_o),
- .d(rdaddr[5]),
- .o(_al_u2886_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2887 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_005 ),
- .d(rdaddr[5]),
- .o(_al_u2887_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2888 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_005 ),
- .c(_al_u2887_o),
- .d(rdaddr[5]),
- .o(_al_u2888_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u2889 (
- .a(_al_u2886_o),
- .b(_al_u2888_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2889_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u2890 (
- .a(_al_u2882_o),
- .b(_al_u2884_o),
- .c(_al_u2889_o),
- .d(rdaddr[6]),
- .o(_al_u2890_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2891 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_005 ),
- .d(rdaddr[5]),
- .o(_al_u2891_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2892 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_005 ),
- .c(_al_u2891_o),
- .d(rdaddr[5]),
- .o(_al_u2892_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2893 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_005 ),
- .d(rdaddr[5]),
- .o(_al_u2893_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2894 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_005 ),
- .c(_al_u2893_o),
- .d(rdaddr[5]),
- .o(_al_u2894_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2895 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_005 ),
- .d(rdaddr[5]),
- .o(_al_u2895_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2896 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_005 ),
- .c(_al_u2895_o),
- .d(rdaddr[5]),
- .o(_al_u2896_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2897 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_005 ),
- .d(rdaddr[5]),
- .o(_al_u2897_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2898 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_005 ),
- .c(_al_u2897_o),
- .d(rdaddr[5]),
- .o(_al_u2898_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2899 (
- .a(_al_u2896_o),
- .b(_al_u2898_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2899_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'hacf0))
- _al_u2900 (
- .a(_al_u2892_o),
- .b(_al_u2894_o),
- .c(_al_u2899_o),
- .d(rdaddr[6]),
- .o(_al_u2900_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'h050f030f))
- _al_u2901 (
- .a(_al_u2890_o),
- .b(_al_u2900_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2901_o));
- AL_MAP_LUT5 #(
- .EQN("(~(~(E*~D)*B)*~(~C*A))"),
- .INIT(32'h31f53131))
- _al_u2902 (
- .a(_al_u2771_o),
- .b(_al_u2813_o),
- .c(_al_u2859_o),
- .d(_al_u2880_o),
- .e(_al_u2901_o),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B7_0 ));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E)"),
- .INIT(32'h15379dbf))
- _al_u2903 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_005 ),
- .o(_al_u2903_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~C*~B))"),
- .INIT(16'ha8aa))
- _al_u2904 (
- .a(rdaddr[7]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_005 ),
- .o(_al_u2904_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*A))"),
- .INIT(8'h07))
- _al_u2905 (
- .a(_al_u2903_o),
- .b(_al_u2904_o),
- .c(rdaddr[6]),
- .o(_al_u2905_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2906 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_005 ),
- .o(_al_u2906_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2907 (
- .a(_al_u2906_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_005 ),
- .o(_al_u2907_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2908 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_005 ),
- .d(rdaddr[5]),
- .o(_al_u2908_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2909 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_005 ),
- .c(_al_u2908_o),
- .d(rdaddr[5]),
- .o(_al_u2909_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2910 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_005 ),
- .d(rdaddr[5]),
- .o(_al_u2910_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2911 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_005 ),
- .c(_al_u2910_o),
- .d(rdaddr[5]),
- .o(_al_u2911_o));
- AL_MAP_LUT5 #(
- .EQN("(~((~B*~A))*~(C)*~(D)*~(E)+(~B*~A)*~(C)*~(D)*~(E)+~((~B*~A))*C*~(D)*~(E)+(~B*~A)*~(C)*D*~(E)+~((~B*~A))*~(C)*~(D)*E+~((~B*~A))*C*~(D)*E+~((~B*~A))*~(C)*D*E+~((~B*~A))*C*D*E)"),
- .INIT(32'heeee01ef))
- _al_u2912 (
- .a(_al_u2905_o),
- .b(_al_u2907_o),
- .c(_al_u2909_o),
- .d(_al_u2911_o),
- .e(rdaddr[7]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B3_17 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2913 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_005 ),
- .o(_al_u2913_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2914 (
- .a(_al_u2913_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_005 ),
- .o(_al_u2914_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2915 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_005 ),
- .d(rdaddr[5]),
- .o(_al_u2915_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2916 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_005 ),
- .c(_al_u2915_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_64 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2917 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_005 ),
- .d(rdaddr[5]),
- .o(_al_u2917_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2918 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_005 ),
- .c(_al_u2917_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_67 ));
- AL_MAP_LUT5 #(
- .EQN("(~A*(~(B)*~(C)*~(D)*~(E)+~(B)*C*~(D)*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*C*~(D)*E+B*C*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E))"),
- .INIT(32'h05550011))
- _al_u2919 (
- .a(_al_u2914_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_64 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_67 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2919_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2920 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_005 ),
- .d(rdaddr[5]),
- .o(_al_u2920_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2921 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_005 ),
- .c(_al_u2920_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_66 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u2922 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_66 ),
- .b(rdaddr[7]),
- .c(rdaddr[6]),
- .o(_al_u2922_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~((~C*~B)*~(A)*~(E)+(~C*~B)*A*~(E)+~((~C*~B))*A*E+(~C*~B)*A*E))"),
- .INIT(32'h005500fc))
- _al_u2923 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B3_17 ),
- .b(_al_u2919_o),
- .c(_al_u2922_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2923_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2924 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_005 ),
- .d(rdaddr[5]),
- .o(_al_u2924_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2925 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_005 ),
- .c(_al_u2924_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_72 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2926 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_005 ),
- .d(rdaddr[5]),
- .o(_al_u2926_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2927 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_005 ),
- .c(_al_u2926_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_73 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf305))
- _al_u2928 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_72 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_73 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2928_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2929 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_005 ),
- .d(rdaddr[5]),
- .o(_al_u2929_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2930 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_005 ),
- .c(_al_u2929_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_74 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2931 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_005 ),
- .d(rdaddr[5]),
- .o(_al_u2931_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2932 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_005 ),
- .c(_al_u2931_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_75 ));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+~(A)*B*~(C)*E+A*~(B)*C*E+~(A)*B*C*E+A*B*C*E))"),
- .INIT(32'h00e40055))
- _al_u2933 (
- .a(_al_u2928_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_74 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_75 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u2933_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2934 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_005 ),
- .d(rdaddr[5]),
- .o(_al_u2934_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2935 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_005 ),
- .c(_al_u2934_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_78 ));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u2936 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_005 ),
- .o(_al_u2936_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u2937 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_005 ),
- .o(_al_u2937_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~((~C*~B))*D*~(E)+~(A)*(~C*~B)*D*~(E)+~(A)*~((~C*~B))*~(D)*E+A*~((~C*~B))*~(D)*E+~(A)*(~C*~B)*~(D)*E+A*(~C*~B)*~(D)*E+~(A)*~((~C*~B))*D*E+A*~((~C*~B))*D*E)"),
- .INIT(32'hfcff5500))
- _al_u2938 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_78 ),
- .b(_al_u2936_o),
- .c(_al_u2937_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2938_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2939 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_005 ),
- .o(_al_u2939_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
- .INIT(16'h4567))
- _al_u2940 (
- .a(_al_u2939_o),
- .b(rdaddr[5]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_005 ),
- .o(_al_u2940_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2941 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_005 ),
- .d(rdaddr[5]),
- .o(_al_u2941_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2942 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_005 ),
- .c(_al_u2941_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_76 ));
- AL_MAP_LUT5 #(
- .EQN("(D*(A*~(B)*~(C)*~(E)+~(A)*~(B)*C*~(E)+A*~(B)*C*~(E)+~(A)*B*C*~(E)+~(A)*~(B)*~(C)*E+~(A)*B*~(C)*E+~(A)*~(B)*C*E+~(A)*B*C*E))"),
- .INIT(32'h55007200))
- _al_u2943 (
- .a(_al_u2938_o),
- .b(_al_u2940_o),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_76 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u2943_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(E*~C*~B))"),
- .INIT(32'h00540055))
- _al_u2944 (
- .a(_al_u2923_o),
- .b(_al_u2933_o),
- .c(_al_u2943_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u2944_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2945 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_005 ),
- .d(rdaddr[5]),
- .o(_al_u2945_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2946 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_005 ),
- .c(_al_u2945_o),
- .d(rdaddr[5]),
- .o(_al_u2946_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2947 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_005 ),
- .d(rdaddr[5]),
- .o(_al_u2947_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2948 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_005 ),
- .c(_al_u2947_o),
- .d(rdaddr[5]),
- .o(_al_u2948_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hfc0a))
- _al_u2949 (
- .a(_al_u2946_o),
- .b(_al_u2948_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u2949_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2950 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_005 ),
- .d(rdaddr[5]),
- .o(_al_u2950_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2951 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_005 ),
- .c(_al_u2950_o),
- .d(rdaddr[5]),
- .o(_al_u2951_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2952 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_005 ),
- .d(rdaddr[5]),
- .o(_al_u2952_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2953 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_005 ),
- .c(_al_u2952_o),
- .d(rdaddr[5]),
- .o(_al_u2953_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+A*~(B)*C*~(E)+A*B*C*~(E)+~(A)*B*~(C)*E+A*~(B)*C*E+~(A)*B*C*E+A*B*C*E))"),
- .INIT(32'h00e400aa))
- _al_u2954 (
- .a(_al_u2949_o),
- .b(_al_u2951_o),
- .c(_al_u2953_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u2954_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2955 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_005 ),
- .d(rdaddr[5]),
- .o(_al_u2955_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2956 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_005 ),
- .c(_al_u2955_o),
- .d(rdaddr[5]),
- .o(_al_u2956_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2957 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_005 ),
- .d(rdaddr[5]),
- .o(_al_u2957_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2958 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_005 ),
- .c(_al_u2957_o),
- .d(rdaddr[5]),
- .o(_al_u2958_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2959 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_005 ),
- .d(rdaddr[5]),
- .o(_al_u2959_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2960 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_005 ),
- .c(_al_u2959_o),
- .d(rdaddr[5]),
- .o(_al_u2960_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2961 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_005 ),
- .d(rdaddr[5]),
- .o(_al_u2961_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2962 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_005 ),
- .c(_al_u2961_o),
- .d(rdaddr[5]),
- .o(_al_u2962_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u2963 (
- .a(_al_u2960_o),
- .b(_al_u2962_o),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u2963_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'hacf0))
- _al_u2964 (
- .a(_al_u2956_o),
- .b(_al_u2958_o),
- .c(_al_u2963_o),
- .d(rdaddr[7]),
- .o(_al_u2964_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~A*~(D*B))"),
- .INIT(16'h0105))
- _al_u2965 (
- .a(_al_u2954_o),
- .b(_al_u2964_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u2965_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2966 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_005 ),
- .d(rdaddr[5]),
- .o(_al_u2966_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2967 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_005 ),
- .c(_al_u2966_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_94 ));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2968 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_94 ),
- .b(rdaddr[6]),
- .o(_al_u2968_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2969 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_005 ),
- .o(_al_u2969_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u2970 (
- .a(_al_u2969_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_005 ),
- .o(_al_u2970_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2971 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_005 ),
- .o(_al_u2971_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h10111213))
- _al_u2972 (
- .a(_al_u2971_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_005 ),
- .o(_al_u2972_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u2973 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_005 ),
- .o(_al_u2973_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u2974 (
- .a(_al_u2973_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_005 ),
- .o(_al_u2974_o));
- AL_MAP_LUT5 #(
- .EQN("~(~C*~(D)*~((~E*~B*~A))+~C*D*~((~E*~B*~A))+~(~C)*D*(~E*~B*~A)+~C*D*(~E*~B*~A))"),
- .INIT(32'hf0f0e0f1))
- _al_u2975 (
- .a(_al_u2968_o),
- .b(_al_u2970_o),
- .c(_al_u2972_o),
- .d(_al_u2974_o),
- .e(_al_u678_o),
- .o(_al_u2975_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2976 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_005 ),
- .d(rdaddr[5]),
- .o(_al_u2976_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2977 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_005 ),
- .c(_al_u2976_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_88 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2978 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_005 ),
- .d(rdaddr[5]),
- .o(_al_u2978_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2979 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_005 ),
- .c(_al_u2978_o),
- .d(rdaddr[5]),
- .o(_al_u2979_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2980 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_005 ),
- .d(rdaddr[5]),
- .o(_al_u2980_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2981 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_005 ),
- .c(_al_u2980_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_90 ));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hffcc0f55))
- _al_u2982 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_88 ),
- .b(_al_u2979_o),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_90 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2982_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2983 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_005 ),
- .d(rdaddr[5]),
- .o(_al_u2983_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u2984 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_005 ),
- .c(_al_u2983_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_91 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2985 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_91 ),
- .b(rdaddr[7]),
- .c(rdaddr[6]),
- .o(_al_u2985_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~((~C*B)*~(A)*~(E)+(~C*B)*A*~(E)+~((~C*B))*A*E+(~C*B)*A*E))"),
- .INIT(32'h5500f300))
- _al_u2986 (
- .a(_al_u2975_o),
- .b(_al_u2982_o),
- .c(_al_u2985_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u2986_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(E*~(~C*~B)))"),
- .INIT(32'h00010055))
- _al_u2987 (
- .a(_al_u2944_o),
- .b(_al_u2965_o),
- .c(_al_u2986_o),
- .d(rdaddr[11]),
- .e(rdaddr[10]),
- .o(_al_u2987_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2988 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_005 ),
- .d(rdaddr[5]),
- .o(_al_u2988_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2989 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_005 ),
- .c(_al_u2988_o),
- .d(rdaddr[5]),
- .o(_al_u2989_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2990 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_005 ),
- .d(rdaddr[5]),
- .o(_al_u2990_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2991 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_005 ),
- .c(_al_u2990_o),
- .d(rdaddr[5]),
- .o(_al_u2991_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2992 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_005 ),
- .d(rdaddr[5]),
- .o(_al_u2992_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2993 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_005 ),
- .c(_al_u2992_o),
- .d(rdaddr[5]),
- .o(_al_u2993_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hffccf0aa))
- _al_u2994 (
- .a(_al_u2989_o),
- .b(_al_u2991_o),
- .c(_al_u2993_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u2994_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2995 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_005 ),
- .d(rdaddr[5]),
- .o(_al_u2995_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2996 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_005 ),
- .c(_al_u2995_o),
- .d(rdaddr[5]),
- .o(_al_u2996_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u2997 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_005 ),
- .d(rdaddr[5]),
- .o(_al_u2997_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u2998 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_005 ),
- .c(_al_u2997_o),
- .d(rdaddr[5]),
- .o(_al_u2998_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u2999 (
- .a(_al_u2996_o),
- .b(_al_u2998_o),
- .c(rdaddr[6]),
- .o(_al_u2999_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u3000 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_005 ),
- .o(_al_u3000_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u3001 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_005 ),
- .o(_al_u3001_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u3002 (
- .a(_al_u3000_o),
- .b(_al_u3001_o),
- .c(rdaddr[6]),
- .d(rdaddr[5]),
- .o(_al_u3002_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3003 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_005 ),
- .d(rdaddr[5]),
- .o(_al_u3003_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3004 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_005 ),
- .c(_al_u3003_o),
- .d(rdaddr[5]),
- .o(_al_u3004_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*~(~A*~(~E*~B))))"),
- .INIT(32'h050f040f))
- _al_u3005 (
- .a(_al_u3002_o),
- .b(_al_u3004_o),
- .c(rdaddr[8]),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u3005_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3006 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_005 ),
- .d(rdaddr[5]),
- .o(_al_u3006_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3007 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_005 ),
- .c(_al_u3006_o),
- .d(rdaddr[5]),
- .o(_al_u3007_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u3008 (
- .a(_al_u3007_o),
- .b(_al_u674_o),
- .c(rdaddr[8]),
- .o(_al_u3008_o));
- AL_MAP_LUT5 #(
- .EQN("(~(~(~E*~B)*C)*~(D*A))"),
- .INIT(32'h050f153f))
- _al_u3009 (
- .a(_al_u2994_o),
- .b(_al_u2999_o),
- .c(_al_u3005_o),
- .d(_al_u3008_o),
- .e(rdaddr[7]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B4_15 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3010 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_005 ),
- .o(_al_u3010_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3011 (
- .a(_al_u3010_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_005 ),
- .o(_al_u3011_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3012 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_005 ),
- .d(rdaddr[5]),
- .o(_al_u3012_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3013 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_005 ),
- .c(_al_u3012_o),
- .d(rdaddr[5]),
- .o(_al_u3013_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3014 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_005 ),
- .d(rdaddr[5]),
- .o(_al_u3014_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3015 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_005 ),
- .c(_al_u3014_o),
- .d(rdaddr[5]),
- .o(_al_u3015_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*~(B*~(C)*~(E)+B*C*~(E)+~(B)*C*E+B*C*E)))"),
- .INIT(32'h50554455))
- _al_u3016 (
- .a(_al_u3011_o),
- .b(_al_u3013_o),
- .c(_al_u3015_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u3016_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3017 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_005 ),
- .d(rdaddr[5]),
- .o(_al_u3017_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3018 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_005 ),
- .c(_al_u3017_o),
- .d(rdaddr[5]),
- .o(_al_u3018_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(A*~(C*~B)))"),
- .INIT(16'h7500))
- _al_u3019 (
- .a(_al_u3016_o),
- .b(_al_u3018_o),
- .c(_al_u668_o),
- .d(rdaddr[8]),
- .o(_al_u3019_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3020 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_005 ),
- .d(rdaddr[5]),
- .o(_al_u3020_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3021 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_005 ),
- .c(_al_u3020_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_114 ));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u3022 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_005 ),
- .o(_al_u3022_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u3023 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_005 ),
- .o(_al_u3023_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'hfc005500))
- _al_u3024 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_114 ),
- .b(_al_u3022_o),
- .c(_al_u3023_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u3024_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3025 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_005 ),
- .o(_al_u3025_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h4044484c))
- _al_u3026 (
- .a(_al_u3025_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_005 ),
- .o(_al_u3026_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3027 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_005 ),
- .d(rdaddr[5]),
- .o(_al_u3027_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3028 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_005 ),
- .c(_al_u3027_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_113 ));
- AL_MAP_LUT5 #(
- .EQN("(~E*~B*~A*~(D*~C))"),
- .INIT(32'h00001011))
- _al_u3029 (
- .a(_al_u3024_o),
- .b(_al_u3026_o),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_113 ),
- .d(_al_u668_o),
- .e(rdaddr[8]),
- .o(_al_u3029_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(A)*~(D)+~(~C*~B)*A*~(D)+~(~(~C*~B))*A*D+~(~C*~B)*A*D)"),
- .INIT(16'haafc))
- _al_u3030 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B4_15 ),
- .b(_al_u3019_o),
- .c(_al_u3029_o),
- .d(rdaddr[9]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B5_7 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3031 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_005 ),
- .d(rdaddr[5]),
- .o(_al_u3031_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3032 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_005 ),
- .c(_al_u3031_o),
- .d(rdaddr[5]),
- .o(_al_u3032_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3033 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_005 ),
- .d(rdaddr[5]),
- .o(_al_u3033_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3034 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_005 ),
- .c(_al_u3033_o),
- .d(rdaddr[5]),
- .o(_al_u3034_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3035 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_005 ),
- .d(rdaddr[5]),
- .o(_al_u3035_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3036 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_005 ),
- .c(_al_u3035_o),
- .d(rdaddr[5]),
- .o(_al_u3036_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hffaaf0cc))
- _al_u3037 (
- .a(_al_u3032_o),
- .b(_al_u3034_o),
- .c(_al_u3036_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u3037_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3038 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_005 ),
- .d(rdaddr[5]),
- .o(_al_u3038_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3039 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_005 ),
- .c(_al_u3038_o),
- .d(rdaddr[5]),
- .o(_al_u3039_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(A*~(C*~B))))"),
- .INIT(32'h8a00ff00))
- _al_u3040 (
- .a(_al_u3037_o),
- .b(_al_u3039_o),
- .c(_al_u674_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u3040_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3041 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_005 ),
- .d(rdaddr[5]),
- .o(_al_u3041_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3042 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_005 ),
- .c(_al_u3041_o),
- .d(rdaddr[5]),
- .o(_al_u3042_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3043 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_005 ),
- .d(rdaddr[5]),
- .o(_al_u3043_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3044 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_005 ),
- .c(_al_u3043_o),
- .d(rdaddr[5]),
- .o(_al_u3044_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3045 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_005 ),
- .d(rdaddr[5]),
- .o(_al_u3045_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3046 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_005 ),
- .c(_al_u3045_o),
- .d(rdaddr[5]),
- .o(_al_u3046_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'haafff0cc))
- _al_u3047 (
- .a(_al_u3042_o),
- .b(_al_u3044_o),
- .c(_al_u3046_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u3047_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3048 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_005 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_005 ),
- .o(_al_u3048_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3049 (
- .a(_al_u3048_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_005 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_005 ),
- .o(_al_u3049_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*~(~C*B)))"),
- .INIT(16'haa08))
- _al_u3050 (
- .a(_al_u3040_o),
- .b(_al_u3047_o),
- .c(_al_u3049_o),
- .d(rdaddr[8]),
- .o(_al_u3050_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3051 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_005 ),
- .d(rdaddr[5]),
- .o(_al_u3051_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3052 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_005 ),
- .c(_al_u3051_o),
- .d(rdaddr[5]),
- .o(_al_u3052_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3053 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_005 ),
- .d(rdaddr[5]),
- .o(_al_u3053_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3054 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_005 ),
- .c(_al_u3053_o),
- .d(rdaddr[5]),
- .o(_al_u3054_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3055 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_005 ),
- .d(rdaddr[5]),
- .o(_al_u3055_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3056 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_005 ),
- .c(_al_u3055_o),
- .d(rdaddr[5]),
- .o(_al_u3056_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3057 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_005 ),
- .d(rdaddr[5]),
- .o(_al_u3057_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3058 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_005 ),
- .c(_al_u3057_o),
- .d(rdaddr[5]),
- .o(_al_u3058_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3059 (
- .a(_al_u3056_o),
- .b(_al_u3058_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3059_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'hacf0))
- _al_u3060 (
- .a(_al_u3052_o),
- .b(_al_u3054_o),
- .c(_al_u3059_o),
- .d(rdaddr[6]),
- .o(_al_u3060_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3061 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_005 ),
- .d(rdaddr[5]),
- .o(_al_u3061_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3062 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_005 ),
- .c(_al_u3061_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_98 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3063 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_005 ),
- .d(rdaddr[5]),
- .o(_al_u3063_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3064 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_005 ),
- .c(_al_u3063_o),
- .d(rdaddr[5]),
- .o(_al_u3064_o));
- AL_MAP_LUT4 #(
- .EQN("(C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
- .INIT(16'hc050))
- _al_u3065 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_98 ),
- .b(_al_u3064_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3065_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3066 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_005 ),
- .d(rdaddr[5]),
- .o(_al_u3066_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3067 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_005 ),
- .c(_al_u3066_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_96 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3068 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_005 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_005 ),
- .d(rdaddr[5]),
- .o(_al_u3068_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3069 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_005 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_005 ),
- .c(_al_u3068_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_97 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0305))
- _al_u3070 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_96 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_97 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3070_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(~C*~B)*~(A)*~(E)+~(~C*~B)*A*~(E)+~(~(~C*~B))*A*E+~(~C*~B)*A*E))"),
- .INIT(32'h00aa00fc))
- _al_u3071 (
- .a(_al_u3060_o),
- .b(_al_u3065_o),
- .c(_al_u3070_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u3071_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~((~C*~B)*~(A)*~(E)+(~C*~B)*A*~(E)+~((~C*~B))*A*E+(~C*~B)*A*E))"),
- .INIT(32'h5500fc00))
- _al_u3072 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B5_7 ),
- .b(_al_u3050_o),
- .c(_al_u3071_o),
- .d(rdaddr[11]),
- .e(rdaddr[10]),
- .o(_al_u3072_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~((~C*~B))*~(D)+A*(~C*~B)*~(D)+~(A)*(~C*~B)*D+A*(~C*~B)*D)"),
- .INIT(16'h03aa))
- _al_u3073 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B7_0 ),
- .b(_al_u2987_o),
- .c(_al_u3072_o),
- .d(rdaddr[12]),
- .o(\FM_Dump_Data_IQ/n62 [5]));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3074 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_004 ),
- .d(rdaddr[5]),
- .o(_al_u3074_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3075 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_004 ),
- .c(_al_u3074_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_114 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3076 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_004 ),
- .d(rdaddr[5]),
- .o(_al_u3076_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3077 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_004 ),
- .c(_al_u3076_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_115 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3078 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_004 ),
- .d(rdaddr[5]),
- .o(_al_u3078_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3079 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_004 ),
- .c(_al_u3078_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_113 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3080 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_004 ),
- .d(rdaddr[5]),
- .o(_al_u3080_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3081 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_004 ),
- .c(_al_u3080_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_112 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u3082 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_113 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_112 ),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u3082_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u3083 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_114 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_115 ),
- .c(_al_u3082_o),
- .d(rdaddr[7]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_28 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3084 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_004 ),
- .d(rdaddr[5]),
- .o(_al_u3084_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3085 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_004 ),
- .c(_al_u3084_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_116 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3086 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_004 ),
- .d(rdaddr[5]),
- .o(_al_u3086_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3087 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_004 ),
- .c(_al_u3086_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_117 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0305))
- _al_u3088 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_116 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_117 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3088_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3089 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_004 ),
- .d(rdaddr[6]),
- .o(_al_u3089_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3090 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_004 ),
- .c(_al_u3089_o),
- .d(rdaddr[6]),
- .o(_al_u3090_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3091 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_004 ),
- .d(rdaddr[6]),
- .o(_al_u3091_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3092 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_004 ),
- .c(_al_u3091_o),
- .d(rdaddr[6]),
- .o(_al_u3092_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u3093 (
- .a(_al_u3090_o),
- .b(_al_u3092_o),
- .c(rdaddr[7]),
- .d(rdaddr[4]),
- .o(_al_u3093_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'h00fc0055))
- _al_u3094 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_28 ),
- .b(_al_u3088_o),
- .c(_al_u3093_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u3094_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3095 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_004 ),
- .d(rdaddr[5]),
- .o(_al_u3095_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3096 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_004 ),
- .c(_al_u3095_o),
- .d(rdaddr[5]),
- .o(_al_u3096_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3097 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_004 ),
- .d(rdaddr[5]),
- .o(_al_u3097_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3098 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_004 ),
- .c(_al_u3097_o),
- .d(rdaddr[5]),
- .o(_al_u3098_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3099 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_004 ),
- .d(rdaddr[5]),
- .o(_al_u3099_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3100 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_004 ),
- .c(_al_u3099_o),
- .d(rdaddr[5]),
- .o(_al_u3100_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+A*~(B)*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hccffaaf0))
- _al_u3101 (
- .a(_al_u3096_o),
- .b(_al_u3098_o),
- .c(_al_u3100_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u3101_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3102 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_004 ),
- .d(rdaddr[5]),
- .o(_al_u3102_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3103 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_004 ),
- .c(_al_u3102_o),
- .d(rdaddr[5]),
- .o(_al_u3103_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(A*~(C*~B)))"),
- .INIT(16'h0075))
- _al_u3104 (
- .a(_al_u3101_o),
- .b(_al_u3103_o),
- .c(_al_u668_o),
- .d(rdaddr[8]),
- .o(_al_u3104_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3105 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_004 ),
- .d(rdaddr[5]),
- .o(_al_u3105_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3106 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_004 ),
- .c(_al_u3105_o),
- .d(rdaddr[5]),
- .o(_al_u3106_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3107 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_004 ),
- .d(rdaddr[5]),
- .o(_al_u3107_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3108 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_004 ),
- .c(_al_u3107_o),
- .d(rdaddr[5]),
- .o(_al_u3108_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'haffc))
- _al_u3109 (
- .a(_al_u3106_o),
- .b(_al_u3108_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3109_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3110 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_004 ),
- .o(_al_u3110_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3111 (
- .a(_al_u3110_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_004 ),
- .o(_al_u3111_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3112 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_004 ),
- .o(_al_u3112_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3113 (
- .a(_al_u3112_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_004 ),
- .o(_al_u3113_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~C*~B*A))"),
- .INIT(16'hfd00))
- _al_u3114 (
- .a(_al_u3109_o),
- .b(_al_u3111_o),
- .c(_al_u3113_o),
- .d(rdaddr[8]),
- .o(_al_u3114_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~A*~(E*~C*~B))"),
- .INIT(32'h54005500))
- _al_u3115 (
- .a(_al_u3094_o),
- .b(_al_u3104_o),
- .c(_al_u3114_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u3115_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3116 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_004 ),
- .d(rdaddr[5]),
- .o(_al_u3116_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3117 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_004 ),
- .c(_al_u3116_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_99 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3118 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_004 ),
- .d(rdaddr[5]),
- .o(_al_u3118_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3119 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_004 ),
- .c(_al_u3118_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_98 ));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u3120 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_99 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_98 ),
- .c(rdaddr[6]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B2_49 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3121 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_004 ),
- .d(rdaddr[5]),
- .o(_al_u3121_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3122 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_004 ),
- .c(_al_u3121_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_97 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3123 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_004 ),
- .d(rdaddr[5]),
- .o(_al_u3123_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3124 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_004 ),
- .c(_al_u3123_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_96 ));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u3125 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_97 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_96 ),
- .c(rdaddr[6]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B2_48 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0a0c))
- _al_u3126 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B2_49 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B2_48 ),
- .c(rdaddr[8]),
- .d(rdaddr[7]),
- .o(_al_u3126_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3127 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_004 ),
- .o(_al_u3127_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3128 (
- .a(_al_u3127_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_004 ),
- .o(_al_u3128_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3129 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_004 ),
- .o(_al_u3129_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3130 (
- .a(_al_u3129_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_004 ),
- .o(_al_u3130_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3131 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_004 ),
- .o(_al_u3131_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3132 (
- .a(_al_u3131_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_004 ),
- .o(_al_u3132_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3133 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_004 ),
- .o(_al_u3133_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3134 (
- .a(_al_u3133_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_004 ),
- .o(_al_u3134_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~D*~C*~B*~A))"),
- .INIT(32'hfffe0000))
- _al_u3135 (
- .a(_al_u3128_o),
- .b(_al_u3130_o),
- .c(_al_u3132_o),
- .d(_al_u3134_o),
- .e(rdaddr[8]),
- .o(_al_u3135_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~B*~A))"),
- .INIT(16'h0f0e))
- _al_u3136 (
- .a(_al_u3126_o),
- .b(_al_u3135_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .o(_al_u3136_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3137 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_004 ),
- .d(rdaddr[5]),
- .o(_al_u3137_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3138 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_004 ),
- .c(_al_u3137_o),
- .d(rdaddr[5]),
- .o(_al_u3138_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3139 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_004 ),
- .d(rdaddr[5]),
- .o(_al_u3139_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3140 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_004 ),
- .c(_al_u3139_o),
- .d(rdaddr[5]),
- .o(_al_u3140_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3141 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_004 ),
- .d(rdaddr[5]),
- .o(_al_u3141_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3142 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_004 ),
- .c(_al_u3141_o),
- .d(rdaddr[5]),
- .o(_al_u3142_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3143 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_004 ),
- .d(rdaddr[5]),
- .o(_al_u3143_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3144 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_004 ),
- .c(_al_u3143_o),
- .d(rdaddr[5]),
- .o(_al_u3144_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u3145 (
- .a(_al_u3142_o),
- .b(_al_u3144_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3145_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u3146 (
- .a(_al_u3138_o),
- .b(_al_u3140_o),
- .c(_al_u3145_o),
- .d(rdaddr[6]),
- .o(_al_u3146_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u3147 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_004 ),
- .o(_al_u3147_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u3148 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_004 ),
- .o(_al_u3148_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u3149 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_004 ),
- .o(_al_u3149_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u3150 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_004 ),
- .o(_al_u3150_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3151 (
- .a(_al_u3149_o),
- .b(_al_u3150_o),
- .c(rdaddr[5]),
- .d(rdaddr[6]),
- .o(_al_u3151_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u3152 (
- .a(_al_u3147_o),
- .b(_al_u3148_o),
- .c(_al_u3151_o),
- .d(rdaddr[6]),
- .o(_al_u3152_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3153 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_004 ),
- .d(rdaddr[5]),
- .o(_al_u3153_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3154 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_004 ),
- .c(_al_u3153_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_107 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3155 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_004 ),
- .d(rdaddr[5]),
- .o(_al_u3155_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3156 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_004 ),
- .c(_al_u3155_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_106 ));
- AL_MAP_LUT5 #(
- .EQN("(~A*~((C*~(B)*~(E)+C*B*~(E)+~(C)*B*E+C*B*E))*~(D)+~A*(C*~(B)*~(E)+C*B*~(E)+~(C)*B*E+C*B*E)*~(D)+~(~A)*(C*~(B)*~(E)+C*B*~(E)+~(C)*B*E+C*B*E)*D+~A*(C*~(B)*~(E)+C*B*~(E)+~(C)*B*E+C*B*E)*D)"),
- .INIT(32'hcc55f055))
- _al_u3157 (
- .a(_al_u3152_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_107 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_106 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_26 ));
- AL_MAP_LUT4 #(
- .EQN("(C*(~B*~(A)*~(D)+~B*A*~(D)+~(~B)*A*D+~B*A*D))"),
- .INIT(16'ha030))
- _al_u3158 (
- .a(_al_u3146_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_26 ),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u3158_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~A*~(~C*B))"),
- .INIT(16'h5100))
- _al_u3159 (
- .a(_al_u3115_o),
- .b(_al_u3136_o),
- .c(_al_u3158_o),
- .d(rdaddr[11]),
- .o(_al_u3159_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3160 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_004 ),
- .d(rdaddr[5]),
- .o(_al_u3160_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3161 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_004 ),
- .c(_al_u3160_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_54 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3162 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_004 ),
- .d(rdaddr[5]),
- .o(_al_u3162_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3163 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_004 ),
- .c(_al_u3162_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_55 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h3f50))
- _al_u3164 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_54 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_55 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3164_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3165 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_004 ),
- .d(rdaddr[5]),
- .o(_al_u3165_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3166 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_004 ),
- .c(_al_u3165_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_53 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3167 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_004 ),
- .d(rdaddr[5]),
- .o(_al_u3167_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3168 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_004 ),
- .c(_al_u3167_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_52 ));
- AL_MAP_LUT5 #(
- .EQN("(D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+A*~(B)*C*~(E)+A*~(B)*~(C)*E+A*B*~(C)*E+A*~(B)*C*E+A*B*C*E))"),
- .INIT(32'haa002700))
- _al_u3169 (
- .a(_al_u3164_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_53 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_52 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u3169_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3170 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_004 ),
- .d(rdaddr[5]),
- .o(_al_u3170_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3171 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_004 ),
- .c(_al_u3170_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_49 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3172 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_004 ),
- .d(rdaddr[5]),
- .o(_al_u3172_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3173 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_004 ),
- .c(_al_u3172_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_48 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf503))
- _al_u3174 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_49 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_48 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3174_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3175 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_004 ),
- .d(rdaddr[5]),
- .o(_al_u3175_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3176 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_004 ),
- .c(_al_u3175_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_51 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3177 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_004 ),
- .d(rdaddr[5]),
- .o(_al_u3177_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3178 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_004 ),
- .c(_al_u3177_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_50 ));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+A*~(B)*C*~(E)+A*B*C*~(E)+~(A)*~(B)*~(C)*E+A*~(B)*~(C)*E+~(A)*B*~(C)*E+A*~(B)*C*E))"),
- .INIT(32'h002700aa))
- _al_u3179 (
- .a(_al_u3174_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_51 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_50 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u3179_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3180 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_004 ),
- .d(rdaddr[5]),
- .o(_al_u3180_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3181 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_004 ),
- .c(_al_u3180_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_62 ));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u3182 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_004 ),
- .o(_al_u3182_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u3183 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_004 ),
- .o(_al_u3183_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~((~C*~B))*D*~(E)+~(A)*(~C*~B)*D*~(E)+~(A)*~((~C*~B))*~(D)*E+A*~((~C*~B))*~(D)*E+~(A)*(~C*~B)*~(D)*E+A*(~C*~B)*~(D)*E+~(A)*~((~C*~B))*D*E+A*~((~C*~B))*D*E)"),
- .INIT(32'hfcff5500))
- _al_u3184 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_62 ),
- .b(_al_u3182_o),
- .c(_al_u3183_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u3184_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3185 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_004 ),
- .d(rdaddr[5]),
- .o(_al_u3185_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3186 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_004 ),
- .c(_al_u3185_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_61 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3187 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_004 ),
- .d(rdaddr[5]),
- .o(_al_u3187_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3188 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_004 ),
- .c(_al_u3187_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_60 ));
- AL_MAP_LUT5 #(
- .EQN("(D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+A*~(B)*C*~(E)+A*~(B)*~(C)*E+A*B*~(C)*E+A*~(B)*C*E+A*B*C*E))"),
- .INIT(32'haa002700))
- _al_u3189 (
- .a(_al_u3184_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_61 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_60 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u3189_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3190 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_004 ),
- .d(rdaddr[5]),
- .o(_al_u3190_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3191 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_004 ),
- .c(_al_u3190_o),
- .d(rdaddr[5]),
- .o(_al_u3191_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*~A))"),
- .INIT(8'h0b))
- _al_u3192 (
- .a(_al_u3191_o),
- .b(_al_u678_o),
- .c(rdaddr[8]),
- .o(_al_u3192_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3193 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_004 ),
- .o(_al_u3193_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3194 (
- .a(_al_u3193_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_004 ),
- .o(_al_u3194_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3195 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_004 ),
- .o(_al_u3195_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3196 (
- .a(_al_u3195_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_004 ),
- .o(_al_u3196_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3197 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_004 ),
- .d(rdaddr[5]),
- .o(_al_u3197_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3198 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_004 ),
- .c(_al_u3197_o),
- .d(rdaddr[5]),
- .o(_al_u3198_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u3199 (
- .a(_al_u3192_o),
- .b(_al_u3194_o),
- .c(_al_u3196_o),
- .d(_al_u3198_o),
- .e(_al_u668_o),
- .o(_al_u3199_o));
- AL_MAP_LUT5 #(
- .EQN("~((~B*~A)*~((~D*~C))*~(E)+(~B*~A)*(~D*~C)*~(E)+~((~B*~A))*(~D*~C)*E+(~B*~A)*(~D*~C)*E)"),
- .INIT(32'hfff0eeee))
- _al_u3200 (
- .a(_al_u3169_o),
- .b(_al_u3179_o),
- .c(_al_u3189_o),
- .d(_al_u3199_o),
- .e(rdaddr[9]),
- .o(_al_u3200_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3201 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_004 ),
- .d(rdaddr[5]),
- .o(_al_u3201_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3202 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_004 ),
- .c(_al_u3201_o),
- .d(rdaddr[5]),
- .o(_al_u3202_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3203 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_004 ),
- .d(rdaddr[5]),
- .o(_al_u3203_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3204 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_004 ),
- .c(_al_u3203_o),
- .d(rdaddr[5]),
- .o(_al_u3204_o));
- AL_MAP_LUT4 #(
- .EQN("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'hc0a0))
- _al_u3205 (
- .a(_al_u3202_o),
- .b(_al_u3204_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3205_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3206 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_004 ),
- .d(rdaddr[5]),
- .o(_al_u3206_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3207 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_004 ),
- .c(_al_u3206_o),
- .d(rdaddr[5]),
- .o(_al_u3207_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3208 (
- .a(_al_u3207_o),
- .b(rdaddr[6]),
- .o(_al_u3208_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u3209 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_004 ),
- .o(_al_u3209_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u3210 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_004 ),
- .o(_al_u3210_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u3211 (
- .a(_al_u3209_o),
- .b(_al_u3210_o),
- .c(rdaddr[6]),
- .d(rdaddr[5]),
- .o(_al_u3211_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(~E*~C*~B))"),
- .INIT(32'h00550054))
- _al_u3212 (
- .a(_al_u3205_o),
- .b(_al_u3208_o),
- .c(_al_u3211_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u3212_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3213 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_004 ),
- .d(rdaddr[5]),
- .o(_al_u3213_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3214 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_004 ),
- .c(_al_u3213_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_36 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3215 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_004 ),
- .d(rdaddr[5]),
- .o(_al_u3215_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3216 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_004 ),
- .c(_al_u3215_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_37 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0305))
- _al_u3217 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_36 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_37 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3217_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3218 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_004 ),
- .d(rdaddr[5]),
- .o(_al_u3218_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3219 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_004 ),
- .c(_al_u3218_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_38 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3220 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_004 ),
- .d(rdaddr[5]),
- .o(_al_u3220_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3221 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_004 ),
- .c(_al_u3220_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_39 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u3222 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_38 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_39 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3222_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(E*~C*~B))"),
- .INIT(32'h00540055))
- _al_u3223 (
- .a(_al_u3212_o),
- .b(_al_u3217_o),
- .c(_al_u3222_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u3223_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3224 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_004 ),
- .d(rdaddr[5]),
- .o(_al_u3224_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3225 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_004 ),
- .c(_al_u3224_o),
- .d(rdaddr[5]),
- .o(_al_u3225_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3226 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_004 ),
- .d(rdaddr[5]),
- .o(_al_u3226_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3227 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_004 ),
- .c(_al_u3226_o),
- .d(rdaddr[5]),
- .o(_al_u3227_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3228 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_004 ),
- .d(rdaddr[5]),
- .o(_al_u3228_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3229 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_004 ),
- .c(_al_u3228_o),
- .d(rdaddr[5]),
- .o(_al_u3229_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3230 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_004 ),
- .d(rdaddr[5]),
- .o(_al_u3230_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3231 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_004 ),
- .c(_al_u3230_o),
- .d(rdaddr[5]),
- .o(_al_u3231_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3232 (
- .a(_al_u3229_o),
- .b(_al_u3231_o),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u3232_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u3233 (
- .a(_al_u3225_o),
- .b(_al_u3227_o),
- .c(_al_u3232_o),
- .d(rdaddr[7]),
- .o(_al_u3233_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3234 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_004 ),
- .d(rdaddr[5]),
- .o(_al_u3234_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3235 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_004 ),
- .c(_al_u3234_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_46 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3236 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_004 ),
- .d(rdaddr[5]),
- .o(_al_u3236_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3237 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_004 ),
- .c(_al_u3236_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_47 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u3238 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_46 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_47 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3238_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3239 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_004 ),
- .o(_al_u3239_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3240 (
- .a(_al_u3239_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_004 ),
- .o(_al_u3240_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(~B*~(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)))"),
- .INIT(32'h55544544))
- _al_u3241 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_004 ),
- .o(_al_u3241_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u3242 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_004 ),
- .o(_al_u3242_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~A*~(~C*B))"),
- .INIT(16'h0051))
- _al_u3243 (
- .a(_al_u3240_o),
- .b(_al_u3241_o),
- .c(_al_u3242_o),
- .d(rdaddr[7]),
- .o(_al_u3243_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(~A*~((~C*~B))*~(E)+~A*(~C*~B)*~(E)+~(~A)*(~C*~B)*E+~A*(~C*~B)*E))"),
- .INIT(32'hfc00aa00))
- _al_u3244 (
- .a(_al_u3233_o),
- .b(_al_u3238_o),
- .c(_al_u3243_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u3244_o));
- AL_MAP_LUT5 #(
- .EQN("(D*(~(~C*~B)*~(A)*~(E)+~(~C*~B)*A*~(E)+~(~(~C*~B))*A*E+~(~C*~B)*A*E))"),
- .INIT(32'haa00fc00))
- _al_u3245 (
- .a(_al_u3200_o),
- .b(_al_u3223_o),
- .c(_al_u3244_o),
- .d(rdaddr[11]),
- .e(rdaddr[10]),
- .o(_al_u3245_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3246 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_004 ),
- .d(rdaddr[5]),
- .o(_al_u3246_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3247 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_004 ),
- .c(_al_u3246_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_17 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3248 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_004 ),
- .d(rdaddr[5]),
- .o(_al_u3248_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3249 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_004 ),
- .c(_al_u3248_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_16 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0503))
- _al_u3250 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_17 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_16 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3250_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3251 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_004 ),
- .d(rdaddr[5]),
- .o(_al_u3251_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3252 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_004 ),
- .c(_al_u3251_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_19 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3253 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_004 ),
- .d(rdaddr[5]),
- .o(_al_u3253_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3254 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_004 ),
- .c(_al_u3253_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_18 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h5030))
- _al_u3255 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_19 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_18 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3255_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u3256 (
- .a(_al_u3250_o),
- .b(_al_u3255_o),
- .c(rdaddr[9]),
- .o(_al_u3256_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3257 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_004 ),
- .d(rdaddr[5]),
- .o(_al_u3257_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3258 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_004 ),
- .c(_al_u3257_o),
- .d(rdaddr[5]),
- .o(_al_u3258_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3259 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_004 ),
- .d(rdaddr[5]),
- .o(_al_u3259_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3260 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_004 ),
- .c(_al_u3259_o),
- .d(rdaddr[5]),
- .o(_al_u3260_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3261 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_004 ),
- .d(rdaddr[5]),
- .o(_al_u3261_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3262 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_004 ),
- .c(_al_u3261_o),
- .d(rdaddr[5]),
- .o(_al_u3262_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3263 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_004 ),
- .d(rdaddr[5]),
- .o(_al_u3263_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3264 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_004 ),
- .c(_al_u3263_o),
- .d(rdaddr[5]),
- .o(_al_u3264_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'hacf0))
- _al_u3265 (
- .a(_al_u3262_o),
- .b(_al_u3264_o),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u3265_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u3266 (
- .a(_al_u3258_o),
- .b(_al_u3260_o),
- .c(_al_u3265_o),
- .d(rdaddr[7]),
- .o(_al_u3266_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(C*~(~A*~(D*~B))))"),
- .INIT(32'h00004f5f))
- _al_u3267 (
- .a(_al_u3256_o),
- .b(_al_u3266_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u3267_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3268 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_004 ),
- .d(rdaddr[5]),
- .o(_al_u3268_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3269 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_004 ),
- .c(_al_u3268_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_8 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3270 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_004 ),
- .d(rdaddr[5]),
- .o(_al_u3270_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3271 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_004 ),
- .c(_al_u3270_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_9 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3272 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_004 ),
- .d(rdaddr[5]),
- .o(_al_u3272_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3273 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_004 ),
- .c(_al_u3272_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_10 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3274 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_004 ),
- .d(rdaddr[5]),
- .o(_al_u3274_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3275 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_004 ),
- .c(_al_u3274_o),
- .d(rdaddr[5]),
- .o(_al_u3275_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h3af0))
- _al_u3276 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_10 ),
- .b(_al_u3275_o),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u3276_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3277 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_8 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_9 ),
- .c(_al_u3276_o),
- .d(rdaddr[7]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_2 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3278 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_004 ),
- .d(rdaddr[5]),
- .o(_al_u3278_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3279 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_004 ),
- .c(_al_u3278_o),
- .d(rdaddr[5]),
- .o(_al_u3279_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3280 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_004 ),
- .d(rdaddr[5]),
- .o(_al_u3280_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3281 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_004 ),
- .c(_al_u3280_o),
- .d(rdaddr[5]),
- .o(_al_u3281_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3282 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_004 ),
- .d(rdaddr[5]),
- .o(_al_u3282_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3283 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_004 ),
- .c(_al_u3282_o),
- .d(rdaddr[5]),
- .o(_al_u3283_o));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'haad8))
- _al_u3284 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_004 ),
- .d(rdaddr[5]),
- .o(_al_u3284_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h350f))
- _al_u3285 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_004 ),
- .c(_al_u3284_o),
- .d(rdaddr[5]),
- .o(_al_u3285_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3286 (
- .a(_al_u3283_o),
- .b(_al_u3285_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3286_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'hacf0))
- _al_u3287 (
- .a(_al_u3279_o),
- .b(_al_u3281_o),
- .c(_al_u3286_o),
- .d(rdaddr[6]),
- .o(_al_u3287_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*(~B*~(A)*~(D)+~B*A*~(D)+~(~B)*A*D+~B*A*D))"),
- .INIT(16'h0a03))
- _al_u3288 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_2 ),
- .b(_al_u3287_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .o(_al_u3288_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3289 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_004 ),
- .d(rdaddr[5]),
- .o(_al_u3289_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3290 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_004 ),
- .c(_al_u3289_o),
- .d(rdaddr[5]),
- .o(_al_u3290_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3291 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_004 ),
- .d(rdaddr[5]),
- .o(_al_u3291_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3292 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_004 ),
- .c(_al_u3291_o),
- .d(rdaddr[5]),
- .o(_al_u3292_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3293 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_004 ),
- .d(rdaddr[5]),
- .o(_al_u3293_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3294 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_004 ),
- .c(_al_u3293_o),
- .d(rdaddr[5]),
- .o(_al_u3294_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3295 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_004 ),
- .d(rdaddr[5]),
- .o(_al_u3295_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3296 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_004 ),
- .c(_al_u3295_o),
- .d(rdaddr[5]),
- .o(_al_u3296_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u3297 (
- .a(_al_u3294_o),
- .b(_al_u3296_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3297_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u3298 (
- .a(_al_u3290_o),
- .b(_al_u3292_o),
- .c(_al_u3297_o),
- .d(rdaddr[6]),
- .o(_al_u3298_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3299 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_004 ),
- .d(rdaddr[5]),
- .o(_al_u3299_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3300 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_004 ),
- .c(_al_u3299_o),
- .d(rdaddr[5]),
- .o(_al_u3300_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3301 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_004 ),
- .d(rdaddr[5]),
- .o(_al_u3301_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3302 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_004 ),
- .c(_al_u3301_o),
- .d(rdaddr[5]),
- .o(_al_u3302_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3303 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_004 ),
- .d(rdaddr[5]),
- .o(_al_u3303_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3304 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_004 ),
- .c(_al_u3303_o),
- .d(rdaddr[5]),
- .o(_al_u3304_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3305 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_004 ),
- .d(rdaddr[5]),
- .o(_al_u3305_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3306 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_004 ),
- .c(_al_u3305_o),
- .d(rdaddr[5]),
- .o(_al_u3306_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3307 (
- .a(_al_u3304_o),
- .b(_al_u3306_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3307_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'hacf0))
- _al_u3308 (
- .a(_al_u3300_o),
- .b(_al_u3302_o),
- .c(_al_u3307_o),
- .d(rdaddr[6]),
- .o(_al_u3308_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D)))"),
- .INIT(32'hfafc0000))
- _al_u3309 (
- .a(_al_u3298_o),
- .b(_al_u3308_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u3309_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3310 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_004 ),
- .d(rdaddr[5]),
- .o(_al_u3310_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3311 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_004 ),
- .c(_al_u3310_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_30 ));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u3312 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_004 ),
- .o(_al_u3312_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u3313 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_004 ),
- .o(_al_u3313_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'hfc005500))
- _al_u3314 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_30 ),
- .b(_al_u3312_o),
- .c(_al_u3313_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u3314_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~C*~(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)))"),
- .INIT(32'haaa8a2a0))
- _al_u3315 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_004 ),
- .o(_al_u3315_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(C*~(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)))"),
- .INIT(32'haa8a2a0a))
- _al_u3316 (
- .a(_al_u3315_o),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_004 ),
- .o(_al_u3316_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u3317 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_004 ),
- .o(_al_u3317_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u3318 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_004 ),
- .o(_al_u3318_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~B*~A))"),
- .INIT(16'h0f0e))
- _al_u3319 (
- .a(_al_u3317_o),
- .b(_al_u3318_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3319_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~A*~(C*~B))"),
- .INIT(16'h4500))
- _al_u3320 (
- .a(_al_u3314_o),
- .b(_al_u3316_o),
- .c(_al_u3319_o),
- .d(rdaddr[9]),
- .o(_al_u3320_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3321 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_004 ),
- .d(rdaddr[5]),
- .o(_al_u3321_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3322 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_004 ),
- .c(_al_u3321_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_21 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3323 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_004 ),
- .d(rdaddr[5]),
- .o(_al_u3323_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3324 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_004 ),
- .c(_al_u3323_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_20 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0503))
- _al_u3325 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_21 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_20 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3325_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3326 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_004 ),
- .d(rdaddr[5]),
- .o(_al_u3326_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3327 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_004 ),
- .c(_al_u3326_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_22 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3328 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_004 ),
- .d(rdaddr[5]),
- .o(_al_u3328_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3329 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_004 ),
- .c(_al_u3328_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_23 ));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*~(A*~(B)*~(E)+A*B*~(E)+~(A)*B*E+A*B*E)))"),
- .INIT(32'h0c0f0a0f))
- _al_u3330 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_22 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_23 ),
- .c(rdaddr[9]),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u3330_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~A*~(C*~B)))"),
- .INIT(16'hba00))
- _al_u3331 (
- .a(_al_u3320_o),
- .b(_al_u3325_o),
- .c(_al_u3330_o),
- .d(rdaddr[10]),
- .o(_al_u3331_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~(~D*C)*~(~B*A)))"),
- .INIT(32'h000022f2))
- _al_u3332 (
- .a(_al_u3267_o),
- .b(_al_u3288_o),
- .c(_al_u3309_o),
- .d(_al_u3331_o),
- .e(rdaddr[11]),
- .o(_al_u3332_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3333 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_004 ),
- .d(rdaddr[5]),
- .o(_al_u3333_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3334 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_004 ),
- .c(_al_u3333_o),
- .d(rdaddr[5]),
- .o(_al_u3334_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3335 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_004 ),
- .d(rdaddr[5]),
- .o(_al_u3335_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3336 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_004 ),
- .c(_al_u3335_o),
- .d(rdaddr[5]),
- .o(_al_u3336_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3337 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_004 ),
- .d(rdaddr[5]),
- .o(_al_u3337_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3338 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_004 ),
- .c(_al_u3337_o),
- .d(rdaddr[5]),
- .o(_al_u3338_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+A*~(B)*C*D*~(E)+A*B*C*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hf0ccaaff))
- _al_u3339 (
- .a(_al_u3334_o),
- .b(_al_u3336_o),
- .c(_al_u3338_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u3339_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3340 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_004 ),
- .d(rdaddr[5]),
- .o(_al_u3340_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3341 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_004 ),
- .c(_al_u3340_o),
- .d(rdaddr[5]),
- .o(_al_u3341_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(A*~(C*~B)))"),
- .INIT(16'h7500))
- _al_u3342 (
- .a(_al_u3339_o),
- .b(_al_u3341_o),
- .c(_al_u678_o),
- .d(rdaddr[8]),
- .o(_al_u3342_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3343 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_004 ),
- .d(rdaddr[5]),
- .o(_al_u3343_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3344 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_004 ),
- .c(_al_u3343_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_82 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3345 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_004 ),
- .d(rdaddr[5]),
- .o(_al_u3345_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3346 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_004 ),
- .c(_al_u3345_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_83 ));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*~(A*~(B)*~(E)+A*B*~(E)+~(A)*B*E+A*B*E)))"),
- .INIT(32'h0c0f0a0f))
- _al_u3347 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_82 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_83 ),
- .c(rdaddr[8]),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u3347_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3348 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_004 ),
- .d(rdaddr[5]),
- .o(_al_u3348_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3349 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_004 ),
- .c(_al_u3348_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_81 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3350 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_004 ),
- .d(rdaddr[5]),
- .o(_al_u3350_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3351 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_004 ),
- .c(_al_u3350_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_80 ));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~D*~(C*~(B)*~(E)+C*B*~(E)+~(C)*B*E+C*B*E)))"),
- .INIT(32'haa88aaa0))
- _al_u3352 (
- .a(_al_u3347_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_81 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_80 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u3352_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~D*~(~B*~A)))"),
- .INIT(16'hf010))
- _al_u3353 (
- .a(_al_u3342_o),
- .b(_al_u3352_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .o(_al_u3353_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~B*(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)))"),
- .INIT(32'h888aa8aa))
- _al_u3354 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_004 ),
- .o(_al_u3354_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B*(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)))"),
- .INIT(32'h222aa2aa))
- _al_u3355 (
- .a(_al_u3354_o),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_004 ),
- .o(_al_u3355_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'ha820))
- _al_u3356 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_004 ),
- .o(_al_u3356_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h5410))
- _al_u3357 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_004 ),
- .o(_al_u3357_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~D*~B*~A))"),
- .INIT(16'hf0e0))
- _al_u3358 (
- .a(_al_u3356_o),
- .b(_al_u3357_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3358_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~B*(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)))"),
- .INIT(32'h888aa8aa))
- _al_u3359 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_004 ),
- .o(_al_u3359_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B*(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)))"),
- .INIT(32'h222aa2aa))
- _al_u3360 (
- .a(_al_u3359_o),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_004 ),
- .o(_al_u3360_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'ha820))
- _al_u3361 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_004 ),
- .o(_al_u3361_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h5410))
- _al_u3362 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_004 ),
- .o(_al_u3362_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~B*~A))"),
- .INIT(16'h0f0e))
- _al_u3363 (
- .a(_al_u3361_o),
- .b(_al_u3362_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u3363_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(D*~C)*~(B*~A))"),
- .INIT(32'h0000b0bb))
- _al_u3364 (
- .a(_al_u3355_o),
- .b(_al_u3358_o),
- .c(_al_u3360_o),
- .d(_al_u3363_o),
- .e(rdaddr[8]),
- .o(_al_u3364_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3365 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_004 ),
- .d(rdaddr[5]),
- .o(_al_u3365_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3366 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_004 ),
- .c(_al_u3365_o),
- .d(rdaddr[5]),
- .o(_al_u3366_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3367 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_004 ),
- .d(rdaddr[5]),
- .o(_al_u3367_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3368 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_004 ),
- .c(_al_u3367_o),
- .d(rdaddr[5]),
- .o(_al_u3368_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3369 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_004 ),
- .d(rdaddr[5]),
- .o(_al_u3369_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3370 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_004 ),
- .c(_al_u3369_o),
- .d(rdaddr[5]),
- .o(_al_u3370_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'haaffccf0))
- _al_u3371 (
- .a(_al_u3366_o),
- .b(_al_u3368_o),
- .c(_al_u3370_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u3371_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3372 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_004 ),
- .d(rdaddr[5]),
- .o(_al_u3372_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3373 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_004 ),
- .c(_al_u3372_o),
- .d(rdaddr[5]),
- .o(_al_u3373_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u3374 (
- .a(_al_u3373_o),
- .b(_al_u668_o),
- .c(rdaddr[8]),
- .o(_al_u3374_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(~E*~A*~(C*B)))"),
- .INIT(32'h00ff00ea))
- _al_u3375 (
- .a(_al_u3364_o),
- .b(_al_u3371_o),
- .c(_al_u3374_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u3375_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u3376 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_004 ),
- .o(_al_u3376_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u3377 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_004 ),
- .o(_al_u3377_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u3378 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_004 ),
- .o(_al_u3378_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u3379 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_004 ),
- .o(_al_u3379_o));
- AL_MAP_LUT5 #(
- .EQN("~((~D*~C)*~((~B*~A))*~(E)+(~D*~C)*(~B*~A)*~(E)+~((~D*~C))*(~B*~A)*E+(~D*~C)*(~B*~A)*E)"),
- .INIT(32'heeeefff0))
- _al_u3380 (
- .a(_al_u3376_o),
- .b(_al_u3377_o),
- .c(_al_u3378_o),
- .d(_al_u3379_o),
- .e(rdaddr[6]),
- .o(_al_u3380_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u3381 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_004 ),
- .o(_al_u3381_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u3382 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_004 ),
- .o(_al_u3382_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u3383 (
- .a(_al_u3381_o),
- .b(_al_u3382_o),
- .c(rdaddr[6]),
- .d(rdaddr[5]),
- .o(_al_u3383_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u3384 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_004 ),
- .o(_al_u3384_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u3385 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_004 ),
- .o(_al_u3385_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0503))
- _al_u3386 (
- .a(_al_u3384_o),
- .b(_al_u3385_o),
- .c(rdaddr[6]),
- .d(rdaddr[5]),
- .o(_al_u3386_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'h000300aa))
- _al_u3387 (
- .a(_al_u3380_o),
- .b(_al_u3383_o),
- .c(_al_u3386_o),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u3387_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3388 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_004 ),
- .o(_al_u3388_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3389 (
- .a(_al_u3388_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_004 ),
- .o(_al_u3389_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3390 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_004 ),
- .o(_al_u3390_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3391 (
- .a(_al_u3390_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_004 ),
- .o(_al_u3391_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3392 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_004 ),
- .d(rdaddr[5]),
- .o(_al_u3392_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3393 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_004 ),
- .c(_al_u3392_o),
- .d(rdaddr[5]),
- .o(_al_u3393_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*~C))"),
- .INIT(16'h1011))
- _al_u3394 (
- .a(_al_u3389_o),
- .b(_al_u3391_o),
- .c(_al_u3393_o),
- .d(_al_u674_o),
- .o(_al_u3394_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3395 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_004 ),
- .o(_al_u3395_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3396 (
- .a(_al_u3395_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_004 ),
- .o(_al_u3396_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~A*~(E*~C*B))"),
- .INIT(32'h51005500))
- _al_u3397 (
- .a(_al_u3387_o),
- .b(_al_u3394_o),
- .c(_al_u3396_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u3397_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u3398 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_004 ),
- .o(_al_u3398_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u3399 (
- .a(rdaddr[5]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_004 ),
- .o(_al_u3399_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(C*~(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'haf00cf00))
- _al_u3400 (
- .a(_al_u3398_o),
- .b(_al_u3399_o),
- .c(_al_u668_o),
- .d(rdaddr[8]),
- .e(rdaddr[4]),
- .o(_al_u3400_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3401 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_004 ),
- .o(_al_u3401_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3402 (
- .a(_al_u3401_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_004 ),
- .o(_al_u3402_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3403 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_004 ),
- .o(_al_u3403_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3404 (
- .a(_al_u3403_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_004 ),
- .o(_al_u3404_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3405 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_004 ),
- .d(rdaddr[5]),
- .o(_al_u3405_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3406 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_004 ),
- .c(_al_u3405_o),
- .d(rdaddr[5]),
- .o(_al_u3406_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u3407 (
- .a(_al_u3400_o),
- .b(_al_u3402_o),
- .c(_al_u3404_o),
- .d(_al_u3406_o),
- .e(_al_u678_o),
- .o(_al_u3407_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3408 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_004 ),
- .d(rdaddr[5]),
- .o(_al_u3408_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3409 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_004 ),
- .c(_al_u3408_o),
- .d(rdaddr[5]),
- .o(_al_u3409_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3410 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_004 ),
- .d(rdaddr[5]),
- .o(_al_u3410_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3411 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_004 ),
- .c(_al_u3410_o),
- .d(rdaddr[5]),
- .o(_al_u3411_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u3412 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_004 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_004 ),
- .d(rdaddr[5]),
- .o(_al_u3412_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u3413 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_004 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_004 ),
- .c(_al_u3412_o),
- .d(rdaddr[5]),
- .o(_al_u3413_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'haaffccf0))
- _al_u3414 (
- .a(_al_u3409_o),
- .b(_al_u3411_o),
- .c(_al_u3413_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u3414_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u3415 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_004 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_004 ),
- .o(_al_u3415_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u3416 (
- .a(_al_u3415_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_004 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_004 ),
- .o(_al_u3416_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~A*~(~E*~C*B))"),
- .INIT(32'h55005100))
- _al_u3417 (
- .a(_al_u3407_o),
- .b(_al_u3414_o),
- .c(_al_u3416_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u3417_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~(~D*B)*~(~C*A)))"),
- .INIT(32'h00000ace))
- _al_u3418 (
- .a(_al_u3353_o),
- .b(_al_u3375_o),
- .c(_al_u3397_o),
- .d(_al_u3417_o),
- .e(rdaddr[11]),
- .o(_al_u3418_o));
- AL_MAP_LUT5 #(
- .EQN("((~C*~B)*~((~D*~A))*~(E)+(~C*~B)*(~D*~A)*~(E)+~((~C*~B))*(~D*~A)*E+(~C*~B)*(~D*~A)*E)"),
- .INIT(32'h00550303))
- _al_u3419 (
- .a(_al_u3159_o),
- .b(_al_u3245_o),
- .c(_al_u3332_o),
- .d(_al_u3418_o),
- .e(rdaddr[12]),
- .o(\FM_Dump_Data_IQ/n62 [4]));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3420 (
- .a(_al_u652_o),
- .b(_al_u654_o),
- .c(_al_u553_o),
- .o(_al_u3420_o));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'hd1c0))
- _al_u3421 (
- .a(_al_u3420_o),
- .b(\FM_Dump_Data_IQ/n38 ),
- .c(\FM_Dump_Data_IQ/n39 [9]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [9]),
- .o(\FM_Dump_Data_IQ/n46 [9]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'hd1c0))
- _al_u3422 (
- .a(_al_u3420_o),
- .b(\FM_Dump_Data_IQ/n38 ),
- .c(\FM_Dump_Data_IQ/n39 [7]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .o(\FM_Dump_Data_IQ/n46 [7]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'hd1c0))
- _al_u3423 (
- .a(_al_u3420_o),
- .b(\FM_Dump_Data_IQ/n38 ),
- .c(\FM_Dump_Data_IQ/n39 [6]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .o(\FM_Dump_Data_IQ/n46 [6]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'hd1c0))
- _al_u3424 (
- .a(_al_u3420_o),
- .b(\FM_Dump_Data_IQ/n38 ),
- .c(\FM_Dump_Data_IQ/n39 [5]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [5]),
- .o(\FM_Dump_Data_IQ/n46 [5]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'hd1c0))
- _al_u3425 (
- .a(_al_u3420_o),
- .b(\FM_Dump_Data_IQ/n38 ),
- .c(\FM_Dump_Data_IQ/n39 [4]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [4]),
- .o(\FM_Dump_Data_IQ/n46 [4]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'hd1c0))
- _al_u3426 (
- .a(_al_u3420_o),
- .b(\FM_Dump_Data_IQ/n38 ),
- .c(\FM_Dump_Data_IQ/n39 [3]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [3]),
- .o(\FM_Dump_Data_IQ/n46 [3]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'hd1c0))
- _al_u3427 (
- .a(_al_u3420_o),
- .b(\FM_Dump_Data_IQ/n38 ),
- .c(\FM_Dump_Data_IQ/n39 [2]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [2]),
- .o(\FM_Dump_Data_IQ/n46 [2]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'hd1c0))
- _al_u3428 (
- .a(_al_u3420_o),
- .b(\FM_Dump_Data_IQ/n38 ),
- .c(\FM_Dump_Data_IQ/n39 [12]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [12]),
- .o(\FM_Dump_Data_IQ/n46 [12]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'hd1c0))
- _al_u3429 (
- .a(_al_u3420_o),
- .b(\FM_Dump_Data_IQ/n38 ),
- .c(\FM_Dump_Data_IQ/n39 [11]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n46 [11]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'hd1c0))
- _al_u3430 (
- .a(_al_u3420_o),
- .b(\FM_Dump_Data_IQ/n38 ),
- .c(\FM_Dump_Data_IQ/n39 [10]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .o(\FM_Dump_Data_IQ/n46 [10]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'hd1c0))
- _al_u3431 (
- .a(_al_u3420_o),
- .b(\FM_Dump_Data_IQ/n38 ),
- .c(\FM_Dump_Data_IQ/n39 [1]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [1]),
- .o(\FM_Dump_Data_IQ/n46 [1]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'hd1c0))
- _al_u3432 (
- .a(_al_u3420_o),
- .b(\FM_Dump_Data_IQ/n38 ),
- .c(\FM_Dump_Data_IQ/n39 [0]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [0]),
- .o(\FM_Dump_Data_IQ/n46 [0]));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u3433 (
- .a(_al_u553_o),
- .b(FM_HW_state[1]),
- .c(FM_HW_state[2]),
- .d(FM_HW_state[3]),
- .o(\FM_Dump_Data_IQ/n57 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3434 (
- .a(\FM_Dump_Data_IQ/n57 ),
- .b(\FM_Dump_Data_IQ/dump_data_addr [12]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [9]),
- .o(_al_u3434_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3435 (
- .a(_al_u3434_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3436 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u3437 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [4]),
- .b(\FM_Dump_Data_IQ/dump_data_addr [5]),
- .o(_al_u3437_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3438 (
- .a(_al_u3437_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(_al_u3438_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3439 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3440 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [4]),
- .b(\FM_Dump_Data_IQ/dump_data_addr [5]),
- .o(_al_u3440_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3441 (
- .a(_al_u3440_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(_al_u3441_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3442 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3443 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [4]),
- .b(\FM_Dump_Data_IQ/dump_data_addr [5]),
- .o(_al_u3443_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3444 (
- .a(_al_u3443_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(_al_u3444_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3445 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_0 ));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u3446 (
- .a(_al_u653_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(_al_u3446_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3447 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_1 ));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u3448 (
- .a(_al_u3437_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(_al_u3448_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3449 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_0 ));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u3450 (
- .a(_al_u3440_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(_al_u3450_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3451 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_1 ));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u3452 (
- .a(_al_u3443_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(_al_u3452_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3453 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u3454 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .b(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(_al_u3454_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3455 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3456 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3457 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3458 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u3459 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .b(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(_al_u3459_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3460 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3461 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3462 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3463 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u3464 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .b(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(_al_u3464_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3465 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3466 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3467 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3468 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u3469 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .b(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(_al_u3469_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3470 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3471 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3472 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3473 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u3474 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .b(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(_al_u3474_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3475 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3476 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3477 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3478 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u3479 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .b(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(_al_u3479_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3480 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3481 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3482 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3483 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u3484 (
- .a(\FM_Dump_Data_IQ/n57 ),
- .b(\FM_Dump_Data_IQ/dump_data_addr [12]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [9]),
- .o(_al_u3484_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3485 (
- .a(_al_u3484_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3486 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3487 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3488 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3489 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3490 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3491 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3492 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3493 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3494 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3495 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3496 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3497 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3498 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3499 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3500 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3501 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3502 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3503 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3504 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3505 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3506 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3507 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3508 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3509 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3510 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3511 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3512 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3513 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3514 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3515 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3516 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3517 (
- .a(\FM_Dump_Data_IQ/n57_1_1_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u3518 (
- .a(_al_u3434_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3519 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3520 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3521 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3522 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3523 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3524 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3525 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3526 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3527 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3528 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3529 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3530 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3531 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3532 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3533 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3534 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3535 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3536 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3537 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3538 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3539 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3540 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3541 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3542 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3543 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3544 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3545 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3546 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3547 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3548 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3549 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3550 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u3551 (
- .a(_al_u3484_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3552 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3553 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3554 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3555 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3556 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3557 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3558 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3559 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3560 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3561 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3562 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3563 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3564 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3565 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3566 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3567 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3568 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3569 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3570 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3571 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3572 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3573 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3574 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3575 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3576 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3577 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3578 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3579 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3580 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3581 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3582 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3583 (
- .a(\FM_Dump_Data_IQ/n57_1_1_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u3584 (
- .a(_al_u3434_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3585 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3586 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3587 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3588 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3589 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3590 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3591 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3592 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3593 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3594 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3595 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3596 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3597 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3598 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3599 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3600 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3601 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3602 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3603 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3604 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3605 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3606 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3607 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3608 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3609 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3610 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3611 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3612 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3613 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3614 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3615 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3616 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u3617 (
- .a(_al_u3484_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3618 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3619 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3620 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3621 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3622 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3623 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3624 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3625 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3626 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3627 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3628 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3629 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3630 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3631 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3632 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3633 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3634 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3635 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3636 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3637 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3638 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3639 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3640 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3641 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3642 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3643 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3644 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3645 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3646 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3647 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3648 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3649 (
- .a(\FM_Dump_Data_IQ/n57_1_0_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u3650 (
- .a(_al_u3434_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3651 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3652 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3653 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3654 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3655 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3656 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3657 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3658 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3659 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3660 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3661 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3662 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3663 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3664 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3665 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3666 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3667 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3668 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3669 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3670 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3671 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3672 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3673 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3674 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3675 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3676 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3677 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3678 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3679 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3680 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3681 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3682 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u3683 (
- .a(_al_u3484_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3684 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3685 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3686 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3687 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3688 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3689 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3690 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3691 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3692 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3693 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3694 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3695 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3696 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3697 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3698 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3699 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3700 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3701 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3702 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3703 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3704 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3705 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3706 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3707 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3708 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3709 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3710 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3711 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3712 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3713 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3714 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3715 (
- .a(\FM_Dump_Data_IQ/n57_1_0_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u3716 (
- .a(\FM_Dump_Data_IQ/n57 ),
- .b(\FM_Dump_Data_IQ/dump_data_addr [12]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [9]),
- .o(_al_u3716_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3717 (
- .a(_al_u3716_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3718 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3719 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3720 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3721 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3722 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3723 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3724 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3725 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3726 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3727 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3728 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3729 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3730 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3731 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3732 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3733 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3734 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3735 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3736 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3737 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3738 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3739 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3740 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3741 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3742 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3743 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3744 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3745 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3746 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3747 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3748 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3749 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u3750 (
- .a(\FM_Dump_Data_IQ/n57 ),
- .b(\FM_Dump_Data_IQ/dump_data_addr [12]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [9]),
- .o(_al_u3750_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3751 (
- .a(_al_u3750_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3752 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3753 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3754 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3755 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3756 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3757 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3758 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3759 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3760 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3761 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3762 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3763 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3764 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3765 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3766 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3767 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3768 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3769 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3770 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3771 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3772 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3773 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3774 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3775 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3776 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3777 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3778 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3779 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3780 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3781 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3782 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3783 (
- .a(\FM_Dump_Data_IQ/n57_0_1_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u3784 (
- .a(_al_u3716_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3785 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3786 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3787 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3788 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3789 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3790 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3791 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3792 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3793 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3794 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3795 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3796 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3797 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3798 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3799 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3800 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3801 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3802 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3803 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3804 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3805 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3806 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3807 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3808 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3809 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3810 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3811 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3812 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3813 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3814 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3815 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3816 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u3817 (
- .a(_al_u3750_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3818 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3819 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3820 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3821 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3822 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3823 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3824 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3825 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3826 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3827 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3828 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3829 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3830 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3831 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3832 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3833 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3834 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3835 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3836 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3837 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3838 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3839 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3840 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3841 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3842 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3843 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3844 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3845 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3846 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3847 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3848 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3849 (
- .a(\FM_Dump_Data_IQ/n57_0_1_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u3850 (
- .a(_al_u3716_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3851 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3852 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3853 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3854 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3855 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3856 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3857 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3858 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3859 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3860 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3861 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3862 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3863 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3864 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3865 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3866 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3867 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3868 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3869 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3870 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3871 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3872 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3873 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3874 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3875 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3876 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3877 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3878 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3879 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3880 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3881 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3882 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_1 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u3883 (
- .a(_al_u3750_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3884 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3885 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3886 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3887 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3888 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3889 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3890 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3891 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3892 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3893 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3894 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3895 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3896 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3897 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3898 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3899 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3900 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3901 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3902 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3903 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3904 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3905 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3906 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3907 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3908 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3909 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3910 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3911 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3912 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3913 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3914 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3915 (
- .a(\FM_Dump_Data_IQ/n57_0_0_1_0 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u3916 (
- .a(_al_u3716_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3917 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3918 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3919 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3920 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3921 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3922 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3923 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3924 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3925 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3926 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3927 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3928 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3929 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3930 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3931 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3932 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3933 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3934 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3935 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3936 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3937 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3938 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3939 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3940 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3941 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3942 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3943 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3944 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3945 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3946 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3947 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3948 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_1 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u3949 (
- .a(_al_u3750_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3950 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u654_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3951 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3438_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3952 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3441_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3953 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3444_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3954 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3446_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3955 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3448_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_0 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3956 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3450_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_1 ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3957 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3452_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3958 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3959 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3960 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3961 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3454_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3962 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3963 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3964 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3965 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3459_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3966 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3967 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3968 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3969 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3464_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3970 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3971 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3972 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3973 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3469_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3974 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3975 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3976 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3977 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3474_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3978 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u653_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3979 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u3437_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_0 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3980 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u3440_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_1 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3981 (
- .a(\FM_Dump_Data_IQ/n57_0_0_0_0 ),
- .b(_al_u3479_o),
- .c(_al_u3443_o),
- .o(\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_0 ));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u3982 (
- .a(Channel[1]),
- .o(\Channel[1]_neg ));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u3983 (
- .a(LED_Out[6]),
- .o(LED_Out[3]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u523 (
- .a(\FM_RSSI_SCAN/multlQQ/n1 [7]),
- .b(\FM_RSSI_SCAN/QdataN [7]),
- .o(\FM_RSSI_SCAN/multlQQ/n2 [7]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u524 (
- .a(\FM_RSSI_SCAN/multlQQ/n1 [6]),
- .b(\FM_RSSI_SCAN/QdataN [6]),
- .c(\FM_RSSI_SCAN/QdataN [7]),
- .o(\FM_RSSI_SCAN/multlQQ/n2 [6]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u525 (
- .a(\FM_RSSI_SCAN/multlQQ/n1 [5]),
- .b(\FM_RSSI_SCAN/QdataN [5]),
- .c(\FM_RSSI_SCAN/QdataN [7]),
- .o(\FM_RSSI_SCAN/multlQQ/n2 [5]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u526 (
- .a(\FM_RSSI_SCAN/multlQQ/n1 [4]),
- .b(\FM_RSSI_SCAN/QdataN [4]),
- .c(\FM_RSSI_SCAN/QdataN [7]),
- .o(\FM_RSSI_SCAN/multlQQ/n2 [4]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u527 (
- .a(\FM_RSSI_SCAN/multlQQ/n1 [3]),
- .b(\FM_RSSI_SCAN/QdataN [3]),
- .c(\FM_RSSI_SCAN/QdataN [7]),
- .o(\FM_RSSI_SCAN/multlQQ/n2 [3]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u528 (
- .a(\FM_RSSI_SCAN/multlQQ/n1 [2]),
- .b(\FM_RSSI_SCAN/QdataN [2]),
- .c(\FM_RSSI_SCAN/QdataN [7]),
- .o(\FM_RSSI_SCAN/multlQQ/n2 [2]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u529 (
- .a(\FM_RSSI_SCAN/multlQQ/n1 [1]),
- .b(\FM_RSSI_SCAN/QdataN [1]),
- .c(\FM_RSSI_SCAN/QdataN [7]),
- .o(\FM_RSSI_SCAN/multlQQ/n2 [1]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u530 (
- .a(\FM_RSSI_SCAN/multlQQ/n1 [0]),
- .b(\FM_RSSI_SCAN/QdataN [0]),
- .c(\FM_RSSI_SCAN/QdataN [7]),
- .o(\FM_RSSI_SCAN/multlQQ/n2 [0]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u531 (
- .a(\FM_RSSI_SCAN/multlII/n1 [7]),
- .b(\FM_RSSI_SCAN/IdataN [7]),
- .o(\FM_RSSI_SCAN/multlII/n2 [7]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u532 (
- .a(\FM_RSSI_SCAN/multlII/n1 [6]),
- .b(\FM_RSSI_SCAN/IdataN [6]),
- .c(\FM_RSSI_SCAN/IdataN [7]),
- .o(\FM_RSSI_SCAN/multlII/n2 [6]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u533 (
- .a(\FM_RSSI_SCAN/multlII/n1 [5]),
- .b(\FM_RSSI_SCAN/IdataN [5]),
- .c(\FM_RSSI_SCAN/IdataN [7]),
- .o(\FM_RSSI_SCAN/multlII/n2 [5]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u534 (
- .a(\FM_RSSI_SCAN/multlII/n1 [4]),
- .b(\FM_RSSI_SCAN/IdataN [4]),
- .c(\FM_RSSI_SCAN/IdataN [7]),
- .o(\FM_RSSI_SCAN/multlII/n2 [4]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u535 (
- .a(\FM_RSSI_SCAN/multlII/n1 [3]),
- .b(\FM_RSSI_SCAN/IdataN [3]),
- .c(\FM_RSSI_SCAN/IdataN [7]),
- .o(\FM_RSSI_SCAN/multlII/n2 [3]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u536 (
- .a(\FM_RSSI_SCAN/multlII/n1 [2]),
- .b(\FM_RSSI_SCAN/IdataN [2]),
- .c(\FM_RSSI_SCAN/IdataN [7]),
- .o(\FM_RSSI_SCAN/multlII/n2 [2]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u537 (
- .a(\FM_RSSI_SCAN/multlII/n1 [1]),
- .b(\FM_RSSI_SCAN/IdataN [1]),
- .c(\FM_RSSI_SCAN/IdataN [7]),
- .o(\FM_RSSI_SCAN/multlII/n2 [1]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u538 (
- .a(\FM_RSSI_SCAN/multlII/n1 [0]),
- .b(\FM_RSSI_SCAN/IdataN [0]),
- .c(\FM_RSSI_SCAN/IdataN [7]),
- .o(\FM_RSSI_SCAN/multlII/n2 [0]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u539 (
- .a(\FM_Dump_Data_IQ/dump_done_en ),
- .b(\FM_Dump_Data_IQ/dump_temp ),
- .o(\FM_Dump_Data_IQ/n49 ));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u540 (
- .a(\Audio_PWM/audio_pwm_reg ),
- .b(adc_Power_down),
- .o(LED_Out[6]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u541 (
- .a(\Audio_PWM/N_1 ),
- .b(\Audio_PWM/N ),
- .c(\Audio_PWM/n2 [9]),
- .o(\Audio_PWM/n3 [9]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u542 (
- .a(\Audio_PWM/N_1 ),
- .b(\Audio_PWM/N ),
- .c(\Audio_PWM/n2 [8]),
- .o(\Audio_PWM/n3 [8]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u543 (
- .a(\Audio_PWM/N_1 ),
- .b(\Audio_PWM/N ),
- .c(\Audio_PWM/n2 [7]),
- .o(\Audio_PWM/n3 [7]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u544 (
- .a(\Audio_PWM/N_1 ),
- .b(\Audio_PWM/N ),
- .c(\Audio_PWM/n2 [6]),
- .o(\Audio_PWM/n3 [6]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u545 (
- .a(\Audio_PWM/N_1 ),
- .b(\Audio_PWM/N ),
- .c(\Audio_PWM/n2 [5]),
- .o(\Audio_PWM/n3 [5]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u546 (
- .a(\Audio_PWM/N_1 ),
- .b(\Audio_PWM/N ),
- .c(\Audio_PWM/n2 [4]),
- .o(\Audio_PWM/n3 [4]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u547 (
- .a(\Audio_PWM/N_1 ),
- .b(\Audio_PWM/N ),
- .c(\Audio_PWM/n2 [3]),
- .o(\Audio_PWM/n3 [3]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u548 (
- .a(\Audio_PWM/N_1 ),
- .b(\Audio_PWM/N ),
- .c(\Audio_PWM/n2 [2]),
- .o(\Audio_PWM/n3 [2]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u549 (
- .a(\Audio_PWM/N_1 ),
- .b(\Audio_PWM/N ),
- .c(\Audio_PWM/n2 [11]),
- .o(\Audio_PWM/n3 [11]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u550 (
- .a(\Audio_PWM/N_1 ),
- .b(\Audio_PWM/N ),
- .c(\Audio_PWM/n2 [10]),
- .o(\Audio_PWM/n3 [10]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u551 (
- .a(\Audio_PWM/N_1 ),
- .b(\Audio_PWM/N ),
- .c(\Audio_PWM/n2 [1]),
- .o(\Audio_PWM/n3 [1]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u552 (
- .a(\Audio_PWM/N_1 ),
- .b(\Audio_PWM/N ),
- .c(\Audio_PWM/n2 [0]),
- .o(\Audio_PWM/n3 [0]));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*~A)"),
- .INIT(16'h0004))
- _al_u553 (
- .a(\FM_Dump_Data_IQ/Dump_done ),
- .b(\FM_Dump_Data_IQ/Data_dump_state [0]),
- .c(\FM_Dump_Data_IQ/Data_dump_state [1]),
- .d(\FM_Dump_Data_IQ/Data_dump_state [2]),
- .o(_al_u553_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u554 (
- .a(_al_u553_o),
- .b(\FM_Dump_Data_IQ/n34 ),
- .o(\FM_Dump_Data_IQ/n38 ));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u555 (
- .a(\FM_Dump_Data_IQ/n38 ),
- .b(\FM_Dump_Data_IQ/n39 [8]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(\FM_Dump_Data_IQ/n46 [8]));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u556 (
- .a(FM_HW_state[1]),
- .b(FM_HW_state[2]),
- .c(FM_HW_state[3]),
- .o(\FM_RSSI_SCAN/n0_lutinv ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u557 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_SCAN[9]),
- .o(rdata[9]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u558 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_SCAN[8]),
- .o(rdata[8]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u559 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_DUMP[7]),
- .c(rd_SCAN[7]),
- .o(rdata[7]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u560 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_DUMP[6]),
- .c(rd_SCAN[6]),
- .o(rdata[6]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u561 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_DUMP[5]),
- .c(rd_SCAN[5]),
- .o(rdata[5]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u562 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_DUMP[4]),
- .c(rd_SCAN[4]),
- .o(rdata[4]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u563 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_DUMP[3]),
- .c(rd_SCAN[3]),
- .o(rdata[3]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u564 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_DUMP[2]),
- .c(rd_SCAN[2]),
- .o(rdata[2]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u565 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_SCAN[16]),
- .o(rdata[16]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u566 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_SCAN[15]),
- .o(rdata[15]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u567 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_SCAN[14]),
- .o(rdata[14]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u568 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_SCAN[13]),
- .o(rdata[13]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u569 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_SCAN[12]),
- .o(rdata[12]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u570 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_SCAN[11]),
- .o(rdata[11]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u571 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_SCAN[10]),
- .o(rdata[10]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u572 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_DUMP[1]),
- .c(rd_SCAN[1]),
- .o(rdata[1]));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u573 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(rd_DUMP[0]),
- .c(rd_SCAN[0]),
- .o(rdata[0]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u574 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(Channel[1]),
- .o(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u575 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(Channel[1]),
- .o(\FM_RSSI_SCAN/mux2_b0_sel_is_3_o ));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*A)"),
- .INIT(16'h0020))
- _al_u576 (
- .a(\FM_Dump_Data_IQ/n58 ),
- .b(\FM_Dump_Data_IQ/Data_dump_state [0]),
- .c(\FM_Dump_Data_IQ/Data_dump_state [1]),
- .d(\FM_Dump_Data_IQ/Data_dump_state [2]),
- .o(_al_u576_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u577 (
- .a(_al_u576_o),
- .b(FM_HW_state[1]),
- .c(FM_HW_state[2]),
- .d(FM_HW_state[3]),
- .o(\FM_Dump_Data_IQ/n61 ));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u578 (
- .a(FM_HW_state[1]),
- .b(FM_HW_state[2]),
- .c(FM_HW_state[3]),
- .o(_al_u578_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(B)*~(C)+~(A)*B*~(C)+A*B*~(C)+~(A)*~(B)*C+~(A)*B*C)"),
- .INIT(8'h5e))
- _al_u579 (
- .a(\FM_RSSI_SCAN/n0_lutinv ),
- .b(_al_u578_o),
- .c(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
- .o(\FM_RSSI_SCAN/n11 ));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
- .INIT(16'hc5c0))
- _al_u580 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n16 [9]),
- .c(\FM_RSSI_SCAN/n14 ),
- .d(\FM_RSSI_SCAN/counter [9]),
- .o(\FM_RSSI_SCAN/n20 [9]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
- .INIT(16'hc5c0))
- _al_u581 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n16 [8]),
- .c(\FM_RSSI_SCAN/n14 ),
- .d(\FM_RSSI_SCAN/counter [8]),
- .o(\FM_RSSI_SCAN/n20 [8]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
- .INIT(16'hc5c0))
- _al_u582 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n16 [7]),
- .c(\FM_RSSI_SCAN/n14 ),
- .d(\FM_RSSI_SCAN/counter [7]),
- .o(\FM_RSSI_SCAN/n20 [7]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
- .INIT(16'hc5c0))
- _al_u583 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n16 [6]),
- .c(\FM_RSSI_SCAN/n14 ),
- .d(\FM_RSSI_SCAN/counter [6]),
- .o(\FM_RSSI_SCAN/n20 [6]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
- .INIT(16'hc5c0))
- _al_u584 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n16 [5]),
- .c(\FM_RSSI_SCAN/n14 ),
- .d(\FM_RSSI_SCAN/counter [5]),
- .o(\FM_RSSI_SCAN/n20 [5]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
- .INIT(16'hc5c0))
- _al_u585 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n16 [4]),
- .c(\FM_RSSI_SCAN/n14 ),
- .d(\FM_RSSI_SCAN/counter [4]),
- .o(\FM_RSSI_SCAN/n20 [4]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
- .INIT(16'hc5c0))
- _al_u586 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n16 [3]),
- .c(\FM_RSSI_SCAN/n14 ),
- .d(\FM_RSSI_SCAN/counter [3]),
- .o(\FM_RSSI_SCAN/n20 [3]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
- .INIT(16'hc5c0))
- _al_u587 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n16 [2]),
- .c(\FM_RSSI_SCAN/n14 ),
- .d(\FM_RSSI_SCAN/counter [2]),
- .o(\FM_RSSI_SCAN/n20 [2]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
- .INIT(16'hc5c0))
- _al_u588 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n16 [12]),
- .c(\FM_RSSI_SCAN/n14 ),
- .d(\FM_RSSI_SCAN/counter [12]),
- .o(\FM_RSSI_SCAN/n20 [12]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
- .INIT(16'hc5c0))
- _al_u589 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n16 [11]),
- .c(\FM_RSSI_SCAN/n14 ),
- .d(\FM_RSSI_SCAN/counter [11]),
- .o(\FM_RSSI_SCAN/n20 [11]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
- .INIT(16'hc5c0))
- _al_u590 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n16 [10]),
- .c(\FM_RSSI_SCAN/n14 ),
- .d(\FM_RSSI_SCAN/counter [10]),
- .o(\FM_RSSI_SCAN/n20 [10]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
- .INIT(16'hc5c0))
- _al_u591 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n16 [1]),
- .c(\FM_RSSI_SCAN/n14 ),
- .d(\FM_RSSI_SCAN/counter [1]),
- .o(\FM_RSSI_SCAN/n20 [1]));
- AL_MAP_LUT4 #(
- .EQN("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
- .INIT(16'hc5c0))
- _al_u592 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n16 [0]),
- .c(\FM_RSSI_SCAN/n14 ),
- .d(\FM_RSSI_SCAN/counter [0]),
- .o(\FM_RSSI_SCAN/n20 [0]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u593 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [9]),
- .d(\FM_RSSI_SCAN/n15 [9]),
- .o(\FM_RSSI_SCAN/n19 [9]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u594 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [8]),
- .d(\FM_RSSI_SCAN/n15 [8]),
- .o(\FM_RSSI_SCAN/n19 [8]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u595 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [7]),
- .d(\FM_RSSI_SCAN/n15 [7]),
- .o(\FM_RSSI_SCAN/n19 [7]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u596 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [6]),
- .d(\FM_RSSI_SCAN/n15 [6]),
- .o(\FM_RSSI_SCAN/n19 [6]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u597 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [5]),
- .d(\FM_RSSI_SCAN/n15 [5]),
- .o(\FM_RSSI_SCAN/n19 [5]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u598 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [4]),
- .d(\FM_RSSI_SCAN/n15 [4]),
- .o(\FM_RSSI_SCAN/n19 [4]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u599 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [3]),
- .d(\FM_RSSI_SCAN/n15 [3]),
- .o(\FM_RSSI_SCAN/n19 [3]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u600 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [26]),
- .d(\FM_RSSI_SCAN/n15 [26]),
- .o(\FM_RSSI_SCAN/n19 [26]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u601 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [25]),
- .d(\FM_RSSI_SCAN/n15 [25]),
- .o(\FM_RSSI_SCAN/n19 [25]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u602 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [24]),
- .d(\FM_RSSI_SCAN/n15 [24]),
- .o(\FM_RSSI_SCAN/n19 [24]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u603 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [23]),
- .d(\FM_RSSI_SCAN/n15 [23]),
- .o(\FM_RSSI_SCAN/n19 [23]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u604 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [22]),
- .d(\FM_RSSI_SCAN/n15 [22]),
- .o(\FM_RSSI_SCAN/n19 [22]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u605 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [21]),
- .d(\FM_RSSI_SCAN/n15 [21]),
- .o(\FM_RSSI_SCAN/n19 [21]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u606 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [20]),
- .d(\FM_RSSI_SCAN/n15 [20]),
- .o(\FM_RSSI_SCAN/n19 [20]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u607 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [2]),
- .d(\FM_RSSI_SCAN/n15 [2]),
- .o(\FM_RSSI_SCAN/n19 [2]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u608 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [19]),
- .d(\FM_RSSI_SCAN/n15 [19]),
- .o(\FM_RSSI_SCAN/n19 [19]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u609 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [18]),
- .d(\FM_RSSI_SCAN/n15 [18]),
- .o(\FM_RSSI_SCAN/n19 [18]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u610 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [17]),
- .d(\FM_RSSI_SCAN/n15 [17]),
- .o(\FM_RSSI_SCAN/n19 [17]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u611 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [16]),
- .d(\FM_RSSI_SCAN/n15 [16]),
- .o(\FM_RSSI_SCAN/n19 [16]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u612 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [15]),
- .d(\FM_RSSI_SCAN/n15 [15]),
- .o(\FM_RSSI_SCAN/n19 [15]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u613 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [14]),
- .d(\FM_RSSI_SCAN/n15 [14]),
- .o(\FM_RSSI_SCAN/n19 [14]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u614 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [13]),
- .d(\FM_RSSI_SCAN/n15 [13]),
- .o(\FM_RSSI_SCAN/n19 [13]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u615 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [12]),
- .d(\FM_RSSI_SCAN/n15 [12]),
- .o(\FM_RSSI_SCAN/n19 [12]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u616 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [11]),
- .d(\FM_RSSI_SCAN/n15 [11]),
- .o(\FM_RSSI_SCAN/n19 [11]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u617 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [10]),
- .d(\FM_RSSI_SCAN/n15 [10]),
- .o(\FM_RSSI_SCAN/n19 [10]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u618 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [1]),
- .d(\FM_RSSI_SCAN/n15 [1]),
- .o(\FM_RSSI_SCAN/n19 [1]));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'hdc10))
- _al_u619 (
- .a(_al_u578_o),
- .b(\FM_RSSI_SCAN/n14 ),
- .c(\FM_RSSI_SCAN/RSSI_SUM [0]),
- .d(\FM_RSSI_SCAN/n15 [0]),
- .o(\FM_RSSI_SCAN/n19 [0]));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*~A)"),
- .INIT(16'h0100))
- _al_u620 (
- .a(wdata[7]),
- .b(wdata[6]),
- .c(wdata[5]),
- .d(wdata[4]),
- .o(_al_u620_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*~A)"),
- .INIT(16'h0010))
- _al_u621 (
- .a(wdata[7]),
- .b(wdata[6]),
- .c(wdata[5]),
- .d(wdata[4]),
- .o(_al_u621_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u622 (
- .a(_al_u620_o),
- .b(_al_u621_o),
- .o(mux3_b2_sel_is_0_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u623 (
- .a(wdata[13]),
- .b(wdata[12]),
- .c(wdata[11]),
- .d(wdata[10]),
- .o(_al_u623_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u624 (
- .a(_al_u623_o),
- .b(wdata[15]),
- .c(wdata[14]),
- .o(_al_u624_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(B*C*~(D)*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*C*~(D)*E+B*C*~(D)*E+~(B)*~(C)*D*E+~(B)*C*D*E+B*C*D*E))"),
- .INIT(32'ha2aa0080))
- _al_u625 (
- .a(mux3_b2_sel_is_0_o),
- .b(_al_u624_o),
- .c(wdata[9]),
- .d(wdata[8]),
- .e(FM_HW_state[3]),
- .o(n21[3]));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u626 (
- .a(wraddr[12]),
- .b(wraddr[11]),
- .c(wraddr[10]),
- .d(wraddr[9]),
- .o(_al_u626_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*~B*A)"),
- .INIT(32'h00000002))
- _al_u627 (
- .a(_al_u626_o),
- .b(wraddr[8]),
- .c(wraddr[7]),
- .d(wraddr[6]),
- .e(wraddr[5]),
- .o(_al_u627_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*C*~B*A)"),
- .INIT(32'h00000020))
- _al_u628 (
- .a(_al_u627_o),
- .b(wraddr[3]),
- .c(wraddr[2]),
- .d(wraddr[1]),
- .e(wraddr[0]),
- .o(_al_u628_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u629 (
- .a(_al_u628_o),
- .b(\FM_RSSI_SCAN/n0_lutinv ),
- .c(wraddr[4]),
- .o(\FM_RSSI_SCAN/n25 ));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u630 (
- .a(wea[3]),
- .b(wea[2]),
- .c(wea[1]),
- .d(wraddr[4]),
- .o(_al_u630_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u631 (
- .a(_al_u628_o),
- .b(_al_u630_o),
- .c(wea[0]),
- .o(and_n4_n1_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u632 (
- .a(and_n4_n1_o),
- .b(FM_HW_state[1]),
- .c(FM_HW_state[2]),
- .d(FM_HW_state[3]),
- .o(\FM_Dump_Data_IQ/and_n5_n3_n0_o ));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*~A)"),
- .INIT(16'h0100))
- _al_u633 (
- .a(\FM_Dump_Data_IQ/Dump_done ),
- .b(\FM_Dump_Data_IQ/Data_dump_state [0]),
- .c(\FM_Dump_Data_IQ/Data_dump_state [1]),
- .d(\FM_Dump_Data_IQ/Data_dump_state [2]),
- .o(\FM_Dump_Data_IQ/u33_sel_is_2_o ));
- AL_MAP_LUT3 #(
- .EQN("~(~B*~(A)*~(C)+~B*A*~(C)+~(~B)*A*C+~B*A*C)"),
- .INIT(8'h5c))
- _al_u634 (
- .a(\FM_Dump_Data_IQ/u33_sel_is_2_o ),
- .b(\FM_Dump_Data_IQ/dump_done_en ),
- .c(\FM_Dump_Data_IQ/dump_temp ),
- .o(\FM_Dump_Data_IQ/n55 ));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u635 (
- .a(\FM_RSSI_SCAN/counter [3]),
- .b(\FM_RSSI_SCAN/counter [4]),
- .c(\FM_RSSI_SCAN/counter [5]),
- .d(\FM_RSSI_SCAN/counter [6]),
- .o(_al_u635_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*B*A)"),
- .INIT(32'h00000008))
- _al_u636 (
- .a(_al_u635_o),
- .b(\FM_RSSI_SCAN/n0_lutinv ),
- .c(\FM_RSSI_SCAN/counter [7]),
- .d(\FM_RSSI_SCAN/counter [8]),
- .e(\FM_RSSI_SCAN/counter [9]),
- .o(_al_u636_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*~A)"),
- .INIT(16'h0010))
- _al_u637 (
- .a(\FM_RSSI_SCAN/counter [10]),
- .b(\FM_RSSI_SCAN/counter [11]),
- .c(\FM_RSSI_SCAN/counter [12]),
- .d(\FM_RSSI_SCAN/counter [2]),
- .o(_al_u637_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u638 (
- .a(_al_u636_o),
- .b(_al_u637_o),
- .c(\FM_RSSI_SCAN/counter [0]),
- .d(\FM_RSSI_SCAN/counter [1]),
- .o(\FM_RSSI_SCAN/done_signal ));
- AL_MAP_LUT5 #(
- .EQN("(A*(B*~(C)*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*C*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E+B*C*D*E))"),
- .INIT(32'haa2a0800))
- _al_u639 (
- .a(mux3_b2_sel_is_0_o),
- .b(_al_u624_o),
- .c(wdata[9]),
- .d(wdata[8]),
- .e(FM_HW_state[2]),
- .o(n21[2]));
- AL_MAP_LUT3 #(
- .EQN("(A*(C@B))"),
- .INIT(8'h28))
- _al_u640 (
- .a(_al_u624_o),
- .b(wdata[9]),
- .c(wdata[8]),
- .o(_al_u640_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(~C*~(D*~A)))"),
- .INIT(16'h3130))
- _al_u641 (
- .a(_al_u640_o),
- .b(_al_u620_o),
- .c(_al_u621_o),
- .d(adc_Power_down),
- .o(n22));
- AL_MAP_LUT4 #(
- .EQN("~(~B*~(D*~C*~A))"),
- .INIT(16'hcdcc))
- _al_u642 (
- .a(_al_u640_o),
- .b(_al_u620_o),
- .c(_al_u621_o),
- .d(FM_HW_state[1]),
- .o(n21[1]));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~D*~A*~(C*B)))"),
- .INIT(32'hffea0000))
- _al_u643 (
- .a(wdata[3]),
- .b(wdata[2]),
- .c(wdata[1]),
- .d(wdata[0]),
- .e(\FM_Dump_Data_IQ/Data_dump_state [0]),
- .o(_al_u643_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~(~E*~(D*~A)))"),
- .INIT(32'h03030100))
- _al_u644 (
- .a(wdata[3]),
- .b(wdata[2]),
- .c(wdata[1]),
- .d(wdata[0]),
- .e(\FM_Dump_Data_IQ/Data_dump_state [0]),
- .o(_al_u644_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u645 (
- .a(wdata[5]),
- .b(wdata[4]),
- .o(_al_u645_o));
- AL_MAP_LUT5 #(
- .EQN("(~((~B*~A))*~(C)*~(D)*~(E)+~((~B*~A))*C*~(D)*~(E)+~((~B*~A))*~(C)*D*~(E)+~((~B*~A))*~(C)*~(D)*E+~((~B*~A))*C*~(D)*E+(~B*~A)*C*~(D)*E+~((~B*~A))*~(C)*D*E)"),
- .INIT(32'h0efe0eee))
- _al_u646 (
- .a(_al_u643_o),
- .b(_al_u644_o),
- .c(_al_u645_o),
- .d(wdata[7]),
- .e(wdata[6]),
- .o(\FM_Dump_Data_IQ/n32 [0]));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*~(C)*D*E)"),
- .INIT(32'h0104ffef))
- _al_u647 (
- .a(wdata[3]),
- .b(wdata[2]),
- .c(wdata[1]),
- .d(wdata[0]),
- .e(\FM_Dump_Data_IQ/Data_dump_state [1]),
- .o(_al_u647_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*~(B)*C*D)"),
- .INIT(16'h11d5))
- _al_u648 (
- .a(_al_u647_o),
- .b(_al_u645_o),
- .c(wdata[7]),
- .d(wdata[6]),
- .o(\FM_Dump_Data_IQ/n32 [1]));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E)"),
- .INIT(32'h0110fffb))
- _al_u649 (
- .a(wdata[3]),
- .b(wdata[2]),
- .c(wdata[1]),
- .d(wdata[0]),
- .e(\FM_Dump_Data_IQ/Data_dump_state [2]),
- .o(_al_u649_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd115))
- _al_u650 (
- .a(_al_u649_o),
- .b(_al_u645_o),
- .c(wdata[7]),
- .d(wdata[6]),
- .o(\FM_Dump_Data_IQ/n32 [2]));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u651 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [10]),
- .b(\FM_Dump_Data_IQ/dump_data_addr [11]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [12]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [9]),
- .o(_al_u651_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u652 (
- .a(_al_u651_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [0]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [1]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [2]),
- .e(\FM_Dump_Data_IQ/dump_data_addr [3]),
- .o(_al_u652_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u653 (
- .a(\FM_Dump_Data_IQ/dump_data_addr [4]),
- .b(\FM_Dump_Data_IQ/dump_data_addr [5]),
- .o(_al_u653_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u654 (
- .a(_al_u653_o),
- .b(\FM_Dump_Data_IQ/dump_data_addr [6]),
- .c(\FM_Dump_Data_IQ/dump_data_addr [7]),
- .d(\FM_Dump_Data_IQ/dump_data_addr [8]),
- .o(_al_u654_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u655 (
- .a(_al_u652_o),
- .b(_al_u654_o),
- .c(_al_u553_o),
- .o(\FM_Dump_Data_IQ/n42 ));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u656 (
- .a(\FM_RSSI_SCAN/done_signal ),
- .b(\FM_RSSI_SCAN/RSSI_reg_2 ),
- .o(RSSI_interrupt));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u657 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_003 ),
- .d(rdaddr[5]),
- .o(_al_u657_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u658 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_003 ),
- .c(_al_u657_o),
- .d(rdaddr[5]),
- .o(_al_u658_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u659 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_003 ),
- .d(rdaddr[5]),
- .o(_al_u659_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u660 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_003 ),
- .c(_al_u659_o),
- .d(rdaddr[5]),
- .o(_al_u660_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u661 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_003 ),
- .d(rdaddr[5]),
- .o(_al_u661_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u662 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_003 ),
- .c(_al_u661_o),
- .d(rdaddr[5]),
- .o(_al_u662_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u663 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_003 ),
- .d(rdaddr[5]),
- .o(_al_u663_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u664 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_003 ),
- .c(_al_u663_o),
- .d(rdaddr[5]),
- .o(_al_u664_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u665 (
- .a(_al_u662_o),
- .b(_al_u664_o),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u665_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ac))
- _al_u666 (
- .a(_al_u658_o),
- .b(_al_u660_o),
- .c(_al_u665_o),
- .d(rdaddr[7]),
- .o(_al_u666_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u667 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_003 ),
- .o(_al_u667_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u668 (
- .a(rdaddr[7]),
- .b(rdaddr[6]),
- .o(_al_u668_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u669 (
- .a(_al_u667_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_003 ),
- .o(_al_u669_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u670 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_003 ),
- .o(_al_u670_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u671 (
- .a(rdaddr[7]),
- .b(rdaddr[6]),
- .o(_al_u671_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u672 (
- .a(_al_u670_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_003 ),
- .o(_al_u672_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u673 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_003 ),
- .o(_al_u673_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u674 (
- .a(rdaddr[7]),
- .b(rdaddr[6]),
- .o(_al_u674_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u675 (
- .a(_al_u673_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_003 ),
- .o(_al_u675_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u676 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_003 ),
- .d(rdaddr[5]),
- .o(_al_u676_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u677 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_003 ),
- .c(_al_u676_o),
- .d(rdaddr[5]),
- .o(_al_u677_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u678 (
- .a(rdaddr[7]),
- .b(rdaddr[6]),
- .o(_al_u678_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~A*~(E*~D))"),
- .INIT(32'h01000101))
- _al_u679 (
- .a(_al_u669_o),
- .b(_al_u672_o),
- .c(_al_u675_o),
- .d(_al_u677_o),
- .e(_al_u678_o),
- .o(_al_u679_o));
- AL_MAP_LUT4 #(
- .EQN("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'hc0a0))
- _al_u680 (
- .a(_al_u666_o),
- .b(_al_u679_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u680_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u681 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_003 ),
- .d(rdaddr[5]),
- .o(_al_u681_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u682 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_003 ),
- .c(_al_u681_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_7 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u683 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_003 ),
- .d(rdaddr[5]),
- .o(_al_u683_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u684 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_003 ),
- .c(_al_u683_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_6 ));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u685 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_7 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_6 ),
- .c(rdaddr[6]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B2_3 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u686 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_003 ),
- .d(rdaddr[5]),
- .o(_al_u686_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u687 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_003 ),
- .c(_al_u686_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_5 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u688 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_003 ),
- .d(rdaddr[5]),
- .o(_al_u688_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u689 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_003 ),
- .c(_al_u688_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_4 ));
- AL_MAP_LUT3 #(
- .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'hac))
- _al_u690 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_5 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_4 ),
- .c(rdaddr[6]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B2_2 ));
- AL_MAP_LUT4 #(
- .EQN("(C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'ha0c0))
- _al_u691 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B2_3 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B2_2 ),
- .c(rdaddr[8]),
- .d(rdaddr[7]),
- .o(_al_u691_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u692 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_003 ),
- .d(rdaddr[5]),
- .o(_al_u692_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u693 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_003 ),
- .c(_al_u692_o),
- .d(rdaddr[5]),
- .o(_al_u693_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u694 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_003 ),
- .d(rdaddr[5]),
- .o(_al_u694_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u695 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_003 ),
- .c(_al_u694_o),
- .d(rdaddr[5]),
- .o(_al_u695_o));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'haad8))
- _al_u696 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_003 ),
- .d(rdaddr[5]),
- .o(_al_u696_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h350f))
- _al_u697 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_003 ),
- .c(_al_u696_o),
- .d(rdaddr[5]),
- .o(_al_u697_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hccfff0aa))
- _al_u698 (
- .a(_al_u693_o),
- .b(_al_u695_o),
- .c(_al_u697_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u698_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u699 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_003 ),
- .d(rdaddr[5]),
- .o(_al_u699_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u700 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_003 ),
- .c(_al_u699_o),
- .d(rdaddr[5]),
- .o(_al_u700_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(A*~(C*~B)))"),
- .INIT(16'h0075))
- _al_u701 (
- .a(_al_u698_o),
- .b(_al_u700_o),
- .c(_al_u668_o),
- .d(rdaddr[8]),
- .o(_al_u701_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(~E*~C*~B))"),
- .INIT(32'h00550054))
- _al_u702 (
- .a(_al_u680_o),
- .b(_al_u691_o),
- .c(_al_u701_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u702_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u703 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_003 ),
- .o(_al_u703_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u704 (
- .a(_al_u703_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_003 ),
- .o(_al_u704_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u705 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_003 ),
- .o(_al_u705_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u706 (
- .a(_al_u705_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_003 ),
- .o(_al_u706_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u707 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_003 ),
- .o(_al_u707_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u708 (
- .a(_al_u707_o),
- .b(_al_u678_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_003 ),
- .o(_al_u708_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u709 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_003 ),
- .d(rdaddr[5]),
- .o(_al_u709_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u710 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_003 ),
- .c(_al_u709_o),
- .d(rdaddr[5]),
- .o(_al_u710_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~A*~(E*~D))"),
- .INIT(32'h01000101))
- _al_u711 (
- .a(_al_u704_o),
- .b(_al_u706_o),
- .c(_al_u708_o),
- .d(_al_u710_o),
- .e(_al_u674_o),
- .o(_al_u711_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u712 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_003 ),
- .d(rdaddr[5]),
- .o(_al_u712_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u713 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_003 ),
- .c(_al_u712_o),
- .d(rdaddr[5]),
- .o(_al_u713_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u714 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_003 ),
- .d(rdaddr[5]),
- .o(_al_u714_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u715 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_003 ),
- .c(_al_u714_o),
- .d(rdaddr[5]),
- .o(_al_u715_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u716 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_003 ),
- .d(rdaddr[5]),
- .o(_al_u716_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u717 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_003 ),
- .c(_al_u716_o),
- .d(rdaddr[5]),
- .o(_al_u717_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h330f55ff))
- _al_u718 (
- .a(_al_u713_o),
- .b(_al_u715_o),
- .c(_al_u717_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u718_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u719 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_003 ),
- .d(rdaddr[5]),
- .o(_al_u719_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u720 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_003 ),
- .c(_al_u719_o),
- .d(rdaddr[5]),
- .o(_al_u720_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~D*~C*A))"),
- .INIT(16'hccc4))
- _al_u721 (
- .a(_al_u720_o),
- .b(rdaddr[8]),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u721_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(C*B)*~(~E*~A))"),
- .INIT(32'h3f002a00))
- _al_u722 (
- .a(_al_u711_o),
- .b(_al_u718_o),
- .c(_al_u721_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u722_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u723 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_003 ),
- .d(rdaddr[5]),
- .o(_al_u723_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u724 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_003 ),
- .c(_al_u723_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_16 ));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u725 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_003 ),
- .o(_al_u725_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u726 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_003 ),
- .o(_al_u726_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~((~C*~B))*~(D)*~(E)+~(A)*(~C*~B)*~(D)*~(E)+~(A)*~((~C*~B))*~(D)*E+A*~((~C*~B))*~(D)*E+~(A)*~((~C*~B))*D*E+A*~((~C*~B))*D*E+~(A)*(~C*~B)*D*E+A*(~C*~B)*D*E)"),
- .INIT(32'hfffc0055))
- _al_u727 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_16 ),
- .b(_al_u725_o),
- .c(_al_u726_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u727_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u728 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_003 ),
- .o(_al_u728_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
- .INIT(16'h4567))
- _al_u729 (
- .a(_al_u728_o),
- .b(rdaddr[5]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_003 ),
- .o(_al_u729_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u730 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_003 ),
- .d(rdaddr[5]),
- .o(_al_u730_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u731 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_003 ),
- .c(_al_u730_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_18 ));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+A*~(B)*~(C)*E+~(A)*~(B)*C*E+A*~(B)*C*E+~(A)*B*C*E))"),
- .INIT(32'h00720055))
- _al_u732 (
- .a(_al_u727_o),
- .b(_al_u729_o),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_18 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u732_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u733 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_003 ),
- .d(rdaddr[5]),
- .o(_al_u733_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u734 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_003 ),
- .c(_al_u733_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_22 ));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u735 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_003 ),
- .o(_al_u735_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u736 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_003 ),
- .o(_al_u736_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~((~C*~B))*D*~(E)+~(A)*(~C*~B)*D*~(E)+~(A)*~((~C*~B))*~(D)*E+A*~((~C*~B))*~(D)*E+~(A)*(~C*~B)*~(D)*E+A*(~C*~B)*~(D)*E+~(A)*~((~C*~B))*D*E+A*~((~C*~B))*D*E)"),
- .INIT(32'hfcff5500))
- _al_u737 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_22 ),
- .b(_al_u735_o),
- .c(_al_u736_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u737_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u738 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_003 ),
- .d(rdaddr[5]),
- .o(_al_u738_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u739 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_003 ),
- .c(_al_u738_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_20 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u740 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_003 ),
- .d(rdaddr[5]),
- .o(_al_u740_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u741 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_003 ),
- .c(_al_u740_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_21 ));
- AL_MAP_LUT5 #(
- .EQN("(D*(~(A)*B*~(C)*~(E)+A*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*C*~(E)+~(A)*~(B)*~(C)*E+~(A)*B*~(C)*E+~(A)*~(B)*C*E+~(A)*B*C*E))"),
- .INIT(32'h5500e400))
- _al_u742 (
- .a(_al_u737_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_20 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_21 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u742_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~A*~(~E*~C*~B))"),
- .INIT(32'h55005400))
- _al_u743 (
- .a(_al_u722_o),
- .b(_al_u732_o),
- .c(_al_u742_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u743_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~B*~A))"),
- .INIT(16'h0f0e))
- _al_u744 (
- .a(_al_u702_o),
- .b(_al_u743_o),
- .c(rdaddr[12]),
- .d(rdaddr[11]),
- .o(_al_u744_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u745 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_003 ),
- .o(_al_u745_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u746 (
- .a(_al_u745_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_003 ),
- .o(_al_u746_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u747 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_003 ),
- .o(_al_u747_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u748 (
- .a(_al_u747_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_003 ),
- .o(_al_u748_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u749 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_003 ),
- .o(_al_u749_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u750 (
- .a(_al_u749_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_003 ),
- .o(_al_u750_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u751 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_003 ),
- .d(rdaddr[5]),
- .o(_al_u751_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u752 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_003 ),
- .c(_al_u751_o),
- .d(rdaddr[5]),
- .o(_al_u752_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~A*~(E*~D))"),
- .INIT(32'h01000101))
- _al_u753 (
- .a(_al_u746_o),
- .b(_al_u748_o),
- .c(_al_u750_o),
- .d(_al_u752_o),
- .e(_al_u678_o),
- .o(_al_u753_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u754 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_003 ),
- .d(rdaddr[5]),
- .o(_al_u754_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u755 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_003 ),
- .c(_al_u754_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_96 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u756 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_003 ),
- .d(rdaddr[5]),
- .o(_al_u756_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u757 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_003 ),
- .c(_al_u756_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_97 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0305))
- _al_u758 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_96 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_97 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u758_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u759 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_003 ),
- .d(rdaddr[5]),
- .o(_al_u759_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u760 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_003 ),
- .c(_al_u759_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_98 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u761 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_003 ),
- .d(rdaddr[5]),
- .o(_al_u761_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u762 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_003 ),
- .c(_al_u761_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_99 ));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h3050))
- _al_u763 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_98 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_99 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u763_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(A)*~(D)+~(~C*~B)*A*~(D)+~(~(~C*~B))*A*D+~(~C*~B)*A*D)"),
- .INIT(16'haafc))
- _al_u764 (
- .a(_al_u753_o),
- .b(_al_u758_o),
- .c(_al_u763_o),
- .d(rdaddr[8]),
- .o(_al_u764_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u765 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_003 ),
- .d(rdaddr[5]),
- .o(_al_u765_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u766 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_003 ),
- .c(_al_u765_o),
- .d(rdaddr[5]),
- .o(_al_u766_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u767 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_003 ),
- .d(rdaddr[5]),
- .o(_al_u767_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u768 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_003 ),
- .c(_al_u767_o),
- .d(rdaddr[5]),
- .o(_al_u768_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u769 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_003 ),
- .d(rdaddr[5]),
- .o(_al_u769_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u770 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_003 ),
- .c(_al_u769_o),
- .d(rdaddr[5]),
- .o(_al_u770_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hffccf0aa))
- _al_u771 (
- .a(_al_u766_o),
- .b(_al_u768_o),
- .c(_al_u770_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u771_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u772 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_003 ),
- .d(rdaddr[5]),
- .o(_al_u772_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u773 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_003 ),
- .c(_al_u772_o),
- .d(rdaddr[5]),
- .o(_al_u773_o));
- AL_MAP_LUT4 #(
- .EQN("(D*A*~(C*~B))"),
- .INIT(16'h8a00))
- _al_u774 (
- .a(_al_u771_o),
- .b(_al_u773_o),
- .c(_al_u674_o),
- .d(rdaddr[8]),
- .o(_al_u774_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u775 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_003 ),
- .d(rdaddr[5]),
- .o(_al_u775_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u776 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_003 ),
- .c(_al_u775_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_104 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u777 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_003 ),
- .d(rdaddr[5]),
- .o(_al_u777_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u778 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_003 ),
- .c(_al_u777_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_105 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf305))
- _al_u779 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_104 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_105 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u779_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u780 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_003 ),
- .d(rdaddr[5]),
- .o(_al_u780_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u781 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_003 ),
- .c(_al_u780_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_106 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u782 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_003 ),
- .d(rdaddr[5]),
- .o(_al_u782_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u783 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_003 ),
- .c(_al_u782_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_107 ));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+A*~(B)*C*~(E)+A*B*C*~(E)+~(A)*~(B)*~(C)*E+A*~(B)*~(C)*E+A*B*~(C)*E+~(A)*~(B)*C*E))"),
- .INIT(32'h001b00aa))
- _al_u784 (
- .a(_al_u779_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_106 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_107 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u784_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~A*~((~C*~B))*~(E)+~A*(~C*~B)*~(E)+~(~A)*(~C*~B)*E+~A*(~C*~B)*E))"),
- .INIT(32'h00030055))
- _al_u785 (
- .a(_al_u764_o),
- .b(_al_u774_o),
- .c(_al_u784_o),
- .d(rdaddr[10]),
- .e(rdaddr[9]),
- .o(_al_u785_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u786 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_003 ),
- .d(rdaddr[5]),
- .o(_al_u786_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u787 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_003 ),
- .c(_al_u786_o),
- .d(rdaddr[5]),
- .o(_al_u787_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u788 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_003 ),
- .d(rdaddr[5]),
- .o(_al_u788_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u789 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_003 ),
- .c(_al_u788_o),
- .d(rdaddr[5]),
- .o(_al_u789_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u790 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_003 ),
- .d(rdaddr[5]),
- .o(_al_u790_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u791 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_003 ),
- .c(_al_u790_o),
- .d(rdaddr[5]),
- .o(_al_u791_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hf0ffccaa))
- _al_u792 (
- .a(_al_u787_o),
- .b(_al_u789_o),
- .c(_al_u791_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u792_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u793 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_003 ),
- .d(rdaddr[5]),
- .o(_al_u793_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u794 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_003 ),
- .c(_al_u793_o),
- .d(rdaddr[5]),
- .o(_al_u794_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(A*~(C*~B)))"),
- .INIT(16'h0075))
- _al_u795 (
- .a(_al_u792_o),
- .b(_al_u794_o),
- .c(_al_u668_o),
- .d(rdaddr[8]),
- .o(_al_u795_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u796 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_003 ),
- .d(rdaddr[5]),
- .o(_al_u796_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u797 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_003 ),
- .c(_al_u796_o),
- .d(rdaddr[5]),
- .o(_al_u797_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u798 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_003 ),
- .d(rdaddr[5]),
- .o(_al_u798_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u799 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_003 ),
- .c(_al_u798_o),
- .d(rdaddr[5]),
- .o(_al_u799_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u800 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_003 ),
- .d(rdaddr[5]),
- .o(_al_u800_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u801 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_003 ),
- .c(_al_u800_o),
- .d(rdaddr[5]),
- .o(_al_u801_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u802 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_003 ),
- .d(rdaddr[5]),
- .o(_al_u802_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u803 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_003 ),
- .c(_al_u802_o),
- .d(rdaddr[5]),
- .o(_al_u803_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'hacf0))
- _al_u804 (
- .a(_al_u801_o),
- .b(_al_u803_o),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u804_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u805 (
- .a(_al_u797_o),
- .b(_al_u799_o),
- .c(_al_u804_o),
- .d(rdaddr[7]),
- .o(_al_u805_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~A*~(D*~B))"),
- .INIT(16'h0405))
- _al_u806 (
- .a(_al_u795_o),
- .b(_al_u805_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u806_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u807 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_003 ),
- .o(_al_u807_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u808 (
- .a(_al_u807_o),
- .b(_al_u671_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_003 ),
- .o(_al_u808_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u809 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_003 ),
- .o(_al_u809_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u810 (
- .a(_al_u809_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_003 ),
- .o(_al_u810_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u811 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_003 ),
- .d(rdaddr[5]),
- .o(_al_u811_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u812 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_003 ),
- .c(_al_u811_o),
- .d(rdaddr[5]),
- .o(_al_u812_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*~C))"),
- .INIT(16'h1011))
- _al_u813 (
- .a(_al_u808_o),
- .b(_al_u810_o),
- .c(_al_u812_o),
- .d(_al_u678_o),
- .o(_al_u813_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u814 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_003 ),
- .d(rdaddr[5]),
- .o(_al_u814_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u815 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_003 ),
- .c(_al_u814_o),
- .d(rdaddr[5]),
- .o(_al_u815_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(A*~(C*~B)))"),
- .INIT(16'h7500))
- _al_u816 (
- .a(_al_u813_o),
- .b(_al_u815_o),
- .c(_al_u668_o),
- .d(rdaddr[8]),
- .o(_al_u816_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u817 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_003 ),
- .d(rdaddr[5]),
- .o(_al_u817_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u818 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_003 ),
- .c(_al_u817_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_123 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u819 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_003 ),
- .d(rdaddr[5]),
- .o(_al_u819_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u820 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_003 ),
- .c(_al_u819_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_122 ));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*~(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'h0a0f0c0f))
- _al_u821 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_123 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_122 ),
- .c(rdaddr[8]),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u821_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u822 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_003 ),
- .d(rdaddr[5]),
- .o(_al_u822_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u823 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_003 ),
- .c(_al_u822_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_121 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u824 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_003 ),
- .d(rdaddr[5]),
- .o(_al_u824_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u825 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_003 ),
- .c(_al_u824_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_120 ));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~D*~(C*~(B)*~(E)+C*B*~(E)+~(C)*B*E+C*B*E)))"),
- .INIT(32'haa88aaa0))
- _al_u826 (
- .a(_al_u821_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_121 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_120 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u826_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(D*~B*~A))"),
- .INIT(16'he0f0))
- _al_u827 (
- .a(_al_u816_o),
- .b(_al_u826_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .o(_al_u827_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~A*~(C*~B)))"),
- .INIT(32'hba00ff00))
- _al_u828 (
- .a(_al_u785_o),
- .b(_al_u806_o),
- .c(_al_u827_o),
- .d(rdaddr[12]),
- .e(rdaddr[11]),
- .o(_al_u828_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u829 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_003 ),
- .o(_al_u829_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u830 (
- .a(_al_u829_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_003 ),
- .o(_al_u830_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u831 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_003 ),
- .d(rdaddr[5]),
- .o(_al_u831_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u832 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_003 ),
- .c(_al_u831_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_56 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u833 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_003 ),
- .d(rdaddr[5]),
- .o(_al_u833_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u834 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_003 ),
- .c(_al_u833_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_58 ));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'ha0aaf0ee))
- _al_u835 (
- .a(_al_u830_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_56 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_58 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u835_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u836 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_003 ),
- .d(rdaddr[5]),
- .o(_al_u836_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u837 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_003 ),
- .c(_al_u836_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_62 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u838 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_003 ),
- .d(rdaddr[5]),
- .o(_al_u838_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u839 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_003 ),
- .c(_al_u838_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_63 ));
- AL_MAP_LUT4 #(
- .EQN("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'hc0a0))
- _al_u840 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_62 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_63 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u840_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u841 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_003 ),
- .d(rdaddr[5]),
- .o(_al_u841_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u842 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_003 ),
- .c(_al_u841_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_60 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u843 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_003 ),
- .d(rdaddr[5]),
- .o(_al_u843_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u844 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_003 ),
- .c(_al_u843_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_61 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
- .INIT(16'h0c0a))
- _al_u845 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_60 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_61 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u845_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u846 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_003 ),
- .d(rdaddr[5]),
- .o(_al_u846_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u847 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_003 ),
- .c(_al_u846_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_59 ));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u848 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_59 ),
- .b(rdaddr[7]),
- .c(rdaddr[6]),
- .o(_al_u848_o));
- AL_MAP_LUT5 #(
- .EQN("~((~D*~A)*~((~C*~B))*~(E)+(~D*~A)*(~C*~B)*~(E)+~((~D*~A))*(~C*~B)*E+(~D*~A)*(~C*~B)*E)"),
- .INIT(32'hfcfcffaa))
- _al_u849 (
- .a(_al_u835_o),
- .b(_al_u840_o),
- .c(_al_u845_o),
- .d(_al_u848_o),
- .e(rdaddr[8]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_7 ));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u850 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_7 ),
- .b(rdaddr[9]),
- .o(_al_u850_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u851 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_003 ),
- .o(_al_u851_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u852 (
- .a(_al_u851_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_003 ),
- .o(_al_u852_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~B*~(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)))"),
- .INIT(32'haaa88a88))
- _al_u853 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_003 ),
- .o(_al_u853_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u854 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_003 ),
- .o(_al_u854_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~A*~(~C*B))"),
- .INIT(16'h5100))
- _al_u855 (
- .a(_al_u852_o),
- .b(_al_u853_o),
- .c(_al_u854_o),
- .d(rdaddr[7]),
- .o(_al_u855_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u856 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_003 ),
- .d(rdaddr[5]),
- .o(_al_u856_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u857 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_003 ),
- .c(_al_u856_o),
- .d(rdaddr[5]),
- .o(_al_u857_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u858 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_003 ),
- .d(rdaddr[5]),
- .o(_al_u858_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u859 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_003 ),
- .c(_al_u858_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_32 ));
- AL_MAP_LUT4 #(
- .EQN("(~C*(~B*~(A)*~(D)+~B*A*~(D)+~(~B)*A*D+~B*A*D))"),
- .INIT(16'h0a03))
- _al_u860 (
- .a(_al_u857_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_32 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u860_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~B*~A))"),
- .INIT(16'h0f0e))
- _al_u861 (
- .a(_al_u855_o),
- .b(_al_u860_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u861_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u862 (
- .a(rdaddr[6]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_003 ),
- .o(_al_u862_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u863 (
- .a(rdaddr[6]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_003 ),
- .o(_al_u863_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~B*~A))"),
- .INIT(16'h0f0e))
- _al_u864 (
- .a(_al_u862_o),
- .b(_al_u863_o),
- .c(rdaddr[7]),
- .d(rdaddr[5]),
- .o(_al_u864_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u865 (
- .a(rdaddr[6]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_003 ),
- .o(_al_u865_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u866 (
- .a(rdaddr[6]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_003 ),
- .o(_al_u866_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~C*~B))"),
- .INIT(16'ha8aa))
- _al_u867 (
- .a(_al_u864_o),
- .b(_al_u865_o),
- .c(_al_u866_o),
- .d(rdaddr[5]),
- .o(_al_u867_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u868 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_003 ),
- .d(rdaddr[5]),
- .o(_al_u868_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u869 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_003 ),
- .c(_al_u868_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_38 ));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u870 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_003 ),
- .o(_al_u870_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u871 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_003 ),
- .o(_al_u871_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(A*~((~C*~B))*~(E)+A*(~C*~B)*~(E)+~(A)*(~C*~B)*E+A*(~C*~B)*E))"),
- .INIT(32'hfc005500))
- _al_u872 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_38 ),
- .b(_al_u870_o),
- .c(_al_u871_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u872_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(A*~(E*~C*~B)))"),
- .INIT(32'h00570055))
- _al_u873 (
- .a(_al_u861_o),
- .b(_al_u867_o),
- .c(_al_u872_o),
- .d(rdaddr[10]),
- .e(rdaddr[8]),
- .o(_al_u873_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u874 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_003 ),
- .o(_al_u874_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u875 (
- .a(_al_u874_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_003 ),
- .o(_al_u875_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u876 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_003 ),
- .d(rdaddr[5]),
- .o(_al_u876_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u877 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_003 ),
- .c(_al_u876_o),
- .d(rdaddr[5]),
- .o(_al_u877_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*~(~C*B)))"),
- .INIT(16'h5504))
- _al_u878 (
- .a(_al_u875_o),
- .b(_al_u877_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u878_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u879 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_003 ),
- .d(rdaddr[5]),
- .o(_al_u879_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u880 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_003 ),
- .c(_al_u879_o),
- .d(rdaddr[5]),
- .o(_al_u880_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u881 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_003 ),
- .d(rdaddr[5]),
- .o(_al_u881_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u882 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_003 ),
- .c(_al_u881_o),
- .d(rdaddr[5]),
- .o(_al_u882_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'he4aa))
- _al_u883 (
- .a(_al_u878_o),
- .b(_al_u880_o),
- .c(_al_u882_o),
- .d(rdaddr[7]),
- .o(_al_u883_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u884 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_003 ),
- .d(rdaddr[5]),
- .o(_al_u884_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u885 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_003 ),
- .c(_al_u884_o),
- .d(rdaddr[5]),
- .o(_al_u885_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~C*~(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)))"),
- .INIT(32'haaa8a2a0))
- _al_u886 (
- .a(_al_u674_o),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_003 ),
- .o(_al_u886_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u887 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_003 ),
- .o(_al_u887_o));
- AL_MAP_LUT5 #(
- .EQN("(~(~C*B)*~(~E*~(D*A)))"),
- .INIT(32'hf3f3a200))
- _al_u888 (
- .a(_al_u885_o),
- .b(_al_u886_o),
- .c(_al_u887_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u888_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u889 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_003 ),
- .o(_al_u889_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u890 (
- .a(_al_u889_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_003 ),
- .o(_al_u890_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u891 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_003 ),
- .o(_al_u891_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h10111213))
- _al_u892 (
- .a(_al_u891_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_003 ),
- .o(_al_u892_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(B)*~(A)+~C*B*~(A)+~(~C)*B*A+~C*B*A)"),
- .INIT(8'h72))
- _al_u893 (
- .a(_al_u888_o),
- .b(_al_u890_o),
- .c(_al_u892_o),
- .o(_al_u893_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~D*(A*~(B)*~(E)+A*B*~(E)+~(A)*B*E+A*B*E)))"),
- .INIT(32'hf030f050))
- _al_u894 (
- .a(_al_u883_o),
- .b(_al_u893_o),
- .c(rdaddr[10]),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u894_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u895 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_003 ),
- .o(_al_u895_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
- .INIT(16'h4567))
- _al_u896 (
- .a(_al_u895_o),
- .b(rdaddr[5]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_003 ),
- .o(_al_u896_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u897 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_003 ),
- .o(_al_u897_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
- .INIT(16'h4567))
- _al_u898 (
- .a(_al_u897_o),
- .b(rdaddr[5]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_003 ),
- .o(_al_u898_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u899 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_003 ),
- .o(_al_u899_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
- .INIT(16'h4567))
- _al_u900 (
- .a(_al_u899_o),
- .b(rdaddr[5]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_003 ),
- .o(_al_u900_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u901 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_003 ),
- .d(rdaddr[5]),
- .o(_al_u901_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u902 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_003 ),
- .c(_al_u901_o),
- .d(rdaddr[5]),
- .o(_al_u902_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u903 (
- .a(_al_u900_o),
- .b(_al_u902_o),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u903_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u904 (
- .a(_al_u896_o),
- .b(_al_u898_o),
- .c(_al_u903_o),
- .d(rdaddr[7]),
- .o(_al_u904_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u905 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_003 ),
- .o(_al_u905_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u906 (
- .a(_al_u905_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_003 ),
- .o(_al_u906_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(~B*~(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)))"),
- .INIT(32'h55544544))
- _al_u907 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_003 ),
- .o(_al_u907_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u908 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_003 ),
- .o(_al_u908_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~A*~(~C*B))"),
- .INIT(16'h0051))
- _al_u909 (
- .a(_al_u906_o),
- .b(_al_u907_o),
- .c(_al_u908_o),
- .d(rdaddr[7]),
- .o(_al_u909_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u910 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_003 ),
- .o(_al_u910_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u911 (
- .a(_al_u910_o),
- .b(rdaddr[6]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_003 ),
- .o(_al_u911_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(~B*~(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)))"),
- .INIT(32'h55544544))
- _al_u912 (
- .a(rdaddr[6]),
- .b(rdaddr[5]),
- .c(rdaddr[4]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_003 ),
- .o(_al_u912_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h028a))
- _al_u913 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_003 ),
- .o(_al_u913_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~A*~(~C*B))"),
- .INIT(16'h5100))
- _al_u914 (
- .a(_al_u911_o),
- .b(_al_u912_o),
- .c(_al_u913_o),
- .d(rdaddr[7]),
- .o(_al_u914_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(~A*~((~C*~B))*~(E)+~A*(~C*~B)*~(E)+~(~A)*(~C*~B)*E+~A*(~C*~B)*E))"),
- .INIT(32'hfc00aa00))
- _al_u915 (
- .a(_al_u904_o),
- .b(_al_u909_o),
- .c(_al_u914_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u915_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~D*B)*~(C*~A))"),
- .INIT(32'haf230000))
- _al_u916 (
- .a(_al_u850_o),
- .b(_al_u873_o),
- .c(_al_u894_o),
- .d(_al_u915_o),
- .e(rdaddr[11]),
- .o(_al_u916_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u917 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_003 ),
- .d(rdaddr[5]),
- .o(_al_u917_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u918 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_003 ),
- .c(_al_u917_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_69 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u919 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_003 ),
- .d(rdaddr[5]),
- .o(_al_u919_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u920 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_003 ),
- .c(_al_u919_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_68 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u921 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_003 ),
- .d(rdaddr[5]),
- .o(_al_u921_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u922 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_003 ),
- .c(_al_u921_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_71 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u923 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_003 ),
- .d(rdaddr[5]),
- .o(_al_u923_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u924 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_003 ),
- .c(_al_u923_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_70 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'hacf0))
- _al_u925 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_71 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_70 ),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u925_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f53))
- _al_u926 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_69 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_68 ),
- .c(_al_u925_o),
- .d(rdaddr[7]),
- .o(_al_u926_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u927 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_003 ),
- .d(rdaddr[5]),
- .o(_al_u927_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u928 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_003 ),
- .c(_al_u927_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_64 ));
- AL_MAP_LUT4 #(
- .EQN("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h048c))
- _al_u929 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_003 ),
- .o(_al_u929_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u930 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_003 ),
- .o(_al_u930_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~((~C*~B))*~(D)*~(E)+~(A)*(~C*~B)*~(D)*~(E)+~(A)*~((~C*~B))*~(D)*E+A*~((~C*~B))*~(D)*E+~(A)*~((~C*~B))*D*E+A*~((~C*~B))*D*E+~(A)*(~C*~B)*D*E+A*(~C*~B)*D*E)"),
- .INIT(32'hfffc0055))
- _al_u931 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_64 ),
- .b(_al_u929_o),
- .c(_al_u930_o),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u931_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u932 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_003 ),
- .d(rdaddr[5]),
- .o(_al_u932_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u933 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_003 ),
- .c(_al_u932_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_66 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u934 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_003 ),
- .d(rdaddr[5]),
- .o(_al_u934_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u935 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_003 ),
- .c(_al_u934_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_67 ));
- AL_MAP_LUT5 #(
- .EQN("(~D*(A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+A*~(B)*C*~(E)+A*B*C*~(E)+~(A)*~(B)*~(C)*E+A*~(B)*~(C)*E+A*B*~(C)*E+~(A)*~(B)*C*E))"),
- .INIT(32'h001b00aa))
- _al_u936 (
- .a(_al_u931_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_66 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_67 ),
- .d(rdaddr[8]),
- .e(rdaddr[7]),
- .o(_al_u936_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~B*~(D*A)))"),
- .INIT(16'h0e0c))
- _al_u937 (
- .a(_al_u926_o),
- .b(_al_u936_o),
- .c(rdaddr[9]),
- .d(rdaddr[8]),
- .o(_al_u937_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u938 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_003 ),
- .o(_al_u938_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u939 (
- .a(_al_u938_o),
- .b(_al_u674_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_003 ),
- .o(_al_u939_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u940 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_003 ),
- .d(rdaddr[5]),
- .o(_al_u940_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u941 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_003 ),
- .c(_al_u940_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_78 ));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*~(C*~B)))"),
- .INIT(16'h5510))
- _al_u942 (
- .a(_al_u939_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_78 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u942_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u943 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_003 ),
- .o(_al_u943_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h10111213))
- _al_u944 (
- .a(_al_u943_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_003 ),
- .o(_al_u944_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u945 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_003 ),
- .o(_al_u945_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h23222120))
- _al_u946 (
- .a(_al_u945_o),
- .b(rdaddr[7]),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_003 ),
- .o(_al_u946_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)))"),
- .INIT(32'h4e00ff00))
- _al_u947 (
- .a(_al_u942_o),
- .b(_al_u944_o),
- .c(_al_u946_o),
- .d(rdaddr[9]),
- .e(rdaddr[8]),
- .o(_al_u947_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u948 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_003 ),
- .d(rdaddr[5]),
- .o(_al_u948_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u949 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_003 ),
- .c(_al_u948_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_73 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u950 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_003 ),
- .d(rdaddr[5]),
- .o(_al_u950_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u951 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_003 ),
- .c(_al_u950_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_72 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf503))
- _al_u952 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_73 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_72 ),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u952_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u953 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_003 ),
- .d(rdaddr[5]),
- .o(_al_u953_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u954 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_003 ),
- .c(_al_u953_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_74 ));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(~D*C*~A))"),
- .INIT(16'h3323))
- _al_u955 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_74 ),
- .b(rdaddr[8]),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u955_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u956 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_003 ),
- .d(rdaddr[5]),
- .o(_al_u956_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u957 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_003 ),
- .c(_al_u956_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_75 ));
- AL_MAP_LUT5 #(
- .EQN("(A*~(C*~(B*~(E*D))))"),
- .INIT(32'h0a8a8a8a))
- _al_u958 (
- .a(_al_u947_o),
- .b(_al_u952_o),
- .c(_al_u955_o),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_75 ),
- .e(rdaddr[7]),
- .o(_al_u958_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~B*~A))"),
- .INIT(16'h0f0e))
- _al_u959 (
- .a(_al_u937_o),
- .b(_al_u958_o),
- .c(rdaddr[11]),
- .d(rdaddr[10]),
- .o(_al_u959_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u960 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_003 ),
- .d(rdaddr[5]),
- .o(_al_u960_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u961 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_003 ),
- .c(_al_u960_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_80 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u962 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_003 ),
- .d(rdaddr[5]),
- .o(_al_u962_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u963 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_003 ),
- .c(_al_u962_o),
- .d(rdaddr[5]),
- .o(_al_u963_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u964 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_003 ),
- .d(rdaddr[5]),
- .o(_al_u964_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u965 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_003 ),
- .c(_al_u964_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_82 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u966 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_003 ),
- .d(rdaddr[5]),
- .o(_al_u966_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u967 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_003 ),
- .c(_al_u966_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_83 ));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcaf0))
- _al_u968 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_82 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_83 ),
- .c(rdaddr[6]),
- .d(rdaddr[7]),
- .o(_al_u968_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0fc5))
- _al_u969 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_80 ),
- .b(_al_u963_o),
- .c(_al_u968_o),
- .d(rdaddr[7]),
- .o(_al_u969_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u970 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_003 ),
- .d(rdaddr[5]),
- .o(_al_u970_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u971 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_003 ),
- .c(_al_u970_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_84 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u972 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_003 ),
- .d(rdaddr[5]),
- .o(_al_u972_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u973 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_003 ),
- .c(_al_u972_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_87 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u974 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_003 ),
- .d(rdaddr[5]),
- .o(_al_u974_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u975 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_003 ),
- .c(_al_u974_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_86 ));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h33ff0f55))
- _al_u976 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_84 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_87 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_86 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u976_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u977 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_003 ),
- .d(rdaddr[5]),
- .o(_al_u977_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u978 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_003 ),
- .c(_al_u977_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_85 ));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u979 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_85 ),
- .b(rdaddr[7]),
- .c(rdaddr[6]),
- .o(_al_u979_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D)"),
- .INIT(16'hf355))
- _al_u980 (
- .a(_al_u969_o),
- .b(_al_u976_o),
- .c(_al_u979_o),
- .d(rdaddr[8]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_10 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u981 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_003 ),
- .d(rdaddr[5]),
- .o(_al_u981_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u982 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_003 ),
- .c(_al_u981_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_92 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u983 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_003 ),
- .d(rdaddr[5]),
- .o(_al_u983_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u984 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_003 ),
- .c(_al_u983_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_94 ));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u985 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_003 ),
- .d(rdaddr[5]),
- .o(_al_u985_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u986 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_003 ),
- .c(_al_u985_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_93 ));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfff0ccaa))
- _al_u987 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_92 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_94 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_93 ),
- .d(rdaddr[7]),
- .e(rdaddr[6]),
- .o(_al_u987_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u988 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_003 ),
- .d(rdaddr[5]),
- .o(_al_u988_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u989 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_003 ),
- .c(_al_u988_o),
- .d(rdaddr[5]),
- .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_95 ));
- AL_MAP_LUT4 #(
- .EQN("(D*A*~(C*~B))"),
- .INIT(16'h8a00))
- _al_u990 (
- .a(_al_u987_o),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_95 ),
- .c(_al_u674_o),
- .d(rdaddr[8]),
- .o(_al_u990_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u991 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_003 ),
- .d(rdaddr[5]),
- .o(_al_u991_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u992 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_003 ),
- .c(_al_u991_o),
- .d(rdaddr[5]),
- .o(_al_u992_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u993 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_003 ),
- .d(rdaddr[5]),
- .o(_al_u993_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u994 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_003 ),
- .c(_al_u993_o),
- .d(rdaddr[5]),
- .o(_al_u994_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'haffc))
- _al_u995 (
- .a(_al_u992_o),
- .b(_al_u994_o),
- .c(rdaddr[7]),
- .d(rdaddr[6]),
- .o(_al_u995_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hec64))
- _al_u996 (
- .a(rdaddr[5]),
- .b(rdaddr[4]),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_003 ),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_003 ),
- .o(_al_u996_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8c888480))
- _al_u997 (
- .a(_al_u996_o),
- .b(_al_u668_o),
- .c(rdaddr[5]),
- .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_003 ),
- .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_003 ),
- .o(_al_u997_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8aa))
- _al_u998 (
- .a(rdaddr[4]),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_003 ),
- .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_003 ),
- .d(rdaddr[5]),
- .o(_al_u998_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0f35))
- _al_u999 (
- .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_003 ),
- .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_003 ),
- .c(_al_u998_o),
- .d(rdaddr[5]),
- .o(_al_u999_o));
- AL_BUFKEEP #(
- .KEEP("OUT"))
- \_bufkeep_ADC_Data[0] (
- .i(\ADC_Data[0]_keep )); // ../rtl/demodulation/FM_HW.v(106)
- AL_BUFKEEP #(
- .KEEP("OUT"))
- \_bufkeep_ADC_Data[10] (
- .i(\ADC_Data[10]_keep ),
- .o(ADC_Data[10])); // ../rtl/demodulation/FM_HW.v(106)
- AL_BUFKEEP #(
- .KEEP("OUT"))
- \_bufkeep_ADC_Data[11] (
- .i(\ADC_Data[11]_keep ),
- .o(ADC_Data[11])); // ../rtl/demodulation/FM_HW.v(106)
- AL_BUFKEEP #(
- .KEEP("OUT"))
- \_bufkeep_ADC_Data[1] (
- .i(\ADC_Data[1]_keep )); // ../rtl/demodulation/FM_HW.v(106)
- AL_BUFKEEP #(
- .KEEP("OUT"))
- \_bufkeep_ADC_Data[2] (
- .i(\ADC_Data[2]_keep )); // ../rtl/demodulation/FM_HW.v(106)
- AL_BUFKEEP #(
- .KEEP("OUT"))
- \_bufkeep_ADC_Data[3] (
- .i(\ADC_Data[3]_keep )); // ../rtl/demodulation/FM_HW.v(106)
- AL_BUFKEEP #(
- .KEEP("OUT"))
- \_bufkeep_ADC_Data[4] (
- .i(\ADC_Data[4]_keep ),
- .o(ADC_Data[4])); // ../rtl/demodulation/FM_HW.v(106)
- AL_BUFKEEP #(
- .KEEP("OUT"))
- \_bufkeep_ADC_Data[5] (
- .i(\ADC_Data[5]_keep ),
- .o(ADC_Data[5])); // ../rtl/demodulation/FM_HW.v(106)
- AL_BUFKEEP #(
- .KEEP("OUT"))
- \_bufkeep_ADC_Data[6] (
- .i(\ADC_Data[6]_keep ),
- .o(ADC_Data[6])); // ../rtl/demodulation/FM_HW.v(106)
- AL_BUFKEEP #(
- .KEEP("OUT"))
- \_bufkeep_ADC_Data[7] (
- .i(\ADC_Data[7]_keep ),
- .o(ADC_Data[7])); // ../rtl/demodulation/FM_HW.v(106)
- AL_BUFKEEP #(
- .KEEP("OUT"))
- \_bufkeep_ADC_Data[8] (
- .i(\ADC_Data[8]_keep ),
- .o(ADC_Data[8])); // ../rtl/demodulation/FM_HW.v(106)
- AL_BUFKEEP #(
- .KEEP("OUT"))
- \_bufkeep_ADC_Data[9] (
- .i(\ADC_Data[9]_keep ),
- .o(ADC_Data[9])); // ../rtl/demodulation/FM_HW.v(106)
- AL_BUFKEEP #(
- .KEEP("IN"))
- _bufkeep_CW_CLK (
- .i(CW_CLK)); // ../rtl/demodulation/FM_HW.v(71)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- adc_Power_down_reg (
- .ce(and_n4_n1_o),
- .clk(clk),
- .d(n22),
- .sr(RSTn),
- .q(adc_Power_down)); // ../rtl/demodulation/FM_HW.v(49)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg0_b1 (
- .clk(EOC),
- .d(\Channel[1]_neg ),
- .sr(RSTn),
- .q(Channel[1])); // ../rtl/demodulation/FM_HW.v(95)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg1_b1 (
- .ce(and_n4_n1_o),
- .clk(clk),
- .d(n21[1]),
- .sr(RSTn),
- .q(FM_HW_state[1])); // ../rtl/demodulation/FM_HW.v(49)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg1_b2 (
- .ce(and_n4_n1_o),
- .clk(clk),
- .d(n21[2]),
- .sr(RSTn),
- .q(FM_HW_state[2])); // ../rtl/demodulation/FM_HW.v(49)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg1_b3 (
- .ce(and_n4_n1_o),
- .clk(clk),
- .d(n21[3]),
- .sr(RSTn),
- .q(FM_HW_state[3])); // ../rtl/demodulation/FM_HW.v(49)
- EG_PHY_LSLICE #(
- //.MACRO("u1/ucin_al_u3991"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u1/u11_al_u3994 (
- .a({\FM_RSSI_SCAN/multlII/n6 [13],\FM_RSSI_SCAN/multlII/n6 [11]}),
- .b({\FM_RSSI_SCAN/multlII/n6 [14],\FM_RSSI_SCAN/multlII/n6 [12]}),
- .c(2'b00),
- .d({\FM_RSSI_SCAN/multlQQ/n6 [13],\FM_RSSI_SCAN/multlQQ/n6 [11]}),
- .e({\FM_RSSI_SCAN/multlQQ/n6 [14],\FM_RSSI_SCAN/multlQQ/n6 [12]}),
- .fci(\u1/c11 ),
- .f({n0[13],n0[11]}),
- .fco(\u1/c15 ),
- .fx({n0[14],n0[12]}));
- EG_PHY_LSLICE #(
- //.MACRO("u1/ucin_al_u3991"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u1/u15_al_u3995 (
- .a({open_n37404,\FM_RSSI_SCAN/multlII/n6 [15]}),
- .c(2'b00),
- .d({open_n37409,\FM_RSSI_SCAN/multlQQ/n6 [15]}),
- .fci(\u1/c15 ),
- .f({open_n37426,n0[15]}),
- .fx({open_n37428,n0[16]}));
- EG_PHY_LSLICE #(
- //.MACRO("u1/ucin_al_u3991"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u1/u3_al_u3992 (
- .a({\FM_RSSI_SCAN/multlII/n6 [5],\FM_RSSI_SCAN/multlII/n6 [3]}),
- .b({\FM_RSSI_SCAN/multlII/n6 [6],\FM_RSSI_SCAN/multlII/n6 [4]}),
- .c(2'b00),
- .d({\FM_RSSI_SCAN/multlQQ/n6 [5],\FM_RSSI_SCAN/multlQQ/n6 [3]}),
- .e({\FM_RSSI_SCAN/multlQQ/n6 [6],\FM_RSSI_SCAN/multlQQ/n6 [4]}),
- .fci(\u1/c3 ),
- .f({n0[5],n0[3]}),
- .fco(\u1/c7 ),
- .fx({n0[6],n0[4]}));
- EG_PHY_LSLICE #(
- //.MACRO("u1/ucin_al_u3991"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u1/u7_al_u3993 (
- .a({\FM_RSSI_SCAN/multlII/n6 [9],\FM_RSSI_SCAN/multlII/n6 [7]}),
- .b({\FM_RSSI_SCAN/multlII/n6 [10],\FM_RSSI_SCAN/multlII/n6 [8]}),
- .c(2'b00),
- .d({\FM_RSSI_SCAN/multlQQ/n6 [9],\FM_RSSI_SCAN/multlQQ/n6 [7]}),
- .e({\FM_RSSI_SCAN/multlQQ/n6 [10],\FM_RSSI_SCAN/multlQQ/n6 [8]}),
- .fci(\u1/c7 ),
- .f({n0[9],n0[7]}),
- .fco(\u1/c11 ),
- .fx({n0[10],n0[8]}));
- EG_PHY_LSLICE #(
- //.MACRO("u1/ucin_al_u3991"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u1/ucin_al_u3991 (
- .a({\FM_RSSI_SCAN/multlII/n6 [1],1'b0}),
- .b({\FM_RSSI_SCAN/multlII/n6 [2],\FM_RSSI_SCAN/multlII/n6 [0]}),
- .c(2'b00),
- .d({\FM_RSSI_SCAN/multlQQ/n6 [1],1'b1}),
- .e({\FM_RSSI_SCAN/multlQQ/n6 [2],\FM_RSSI_SCAN/multlQQ/n6 [0]}),
- .f({n0[1],open_n37484}),
- .fco(\u1/c3 ),
- .fx({n0[2],n0[0]}));
- EG_PHY_LSLICE #(
- //.MACRO("u2/ucin_al_u3984"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u2/u11_al_u3987 (
- .a({\FM_RSSI_SCAN/RSSI_SUM [13],\FM_RSSI_SCAN/RSSI_SUM [11]}),
- .b({\FM_RSSI_SCAN/RSSI_SUM [14],\FM_RSSI_SCAN/RSSI_SUM [12]}),
- .c(2'b00),
- .d({n0[13],n0[11]}),
- .e({n0[14],n0[12]}),
- .fci(\u2/c11 ),
- .f({\FM_RSSI_SCAN/n15 [13],\FM_RSSI_SCAN/n15 [11]}),
- .fco(\u2/c15 ),
- .fx({\FM_RSSI_SCAN/n15 [14],\FM_RSSI_SCAN/n15 [12]}));
- EG_PHY_LSLICE #(
- //.MACRO("u2/ucin_al_u3984"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u2/u15_al_u3988 (
- .a({\FM_RSSI_SCAN/RSSI_SUM [17],\FM_RSSI_SCAN/RSSI_SUM [15]}),
- .b({\FM_RSSI_SCAN/RSSI_SUM [18],\FM_RSSI_SCAN/RSSI_SUM [16]}),
- .c(2'b00),
- .d({1'b0,n0[15]}),
- .e({1'b0,n0[16]}),
- .fci(\u2/c15 ),
- .f({\FM_RSSI_SCAN/n15 [17],\FM_RSSI_SCAN/n15 [15]}),
- .fco(\u2/c19 ),
- .fx({\FM_RSSI_SCAN/n15 [18],\FM_RSSI_SCAN/n15 [16]}));
- EG_PHY_LSLICE #(
- //.MACRO("u2/ucin_al_u3984"),
- //.R_POSITION("X0Y2Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u2/u19_al_u3989 (
- .a({\FM_RSSI_SCAN/RSSI_SUM [21],\FM_RSSI_SCAN/RSSI_SUM [19]}),
- .b({\FM_RSSI_SCAN/RSSI_SUM [22],\FM_RSSI_SCAN/RSSI_SUM [20]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\u2/c19 ),
- .f({\FM_RSSI_SCAN/n15 [21],\FM_RSSI_SCAN/n15 [19]}),
- .fco(\u2/c23 ),
- .fx({\FM_RSSI_SCAN/n15 [22],\FM_RSSI_SCAN/n15 [20]}));
- EG_PHY_LSLICE #(
- //.MACRO("u2/ucin_al_u3984"),
- //.R_POSITION("X0Y3Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u2/u23_al_u3990 (
- .a({\FM_RSSI_SCAN/RSSI_SUM [25],\FM_RSSI_SCAN/RSSI_SUM [23]}),
- .b({\FM_RSSI_SCAN/RSSI_SUM [26],\FM_RSSI_SCAN/RSSI_SUM [24]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\u2/c23 ),
- .f({\FM_RSSI_SCAN/n15 [25],\FM_RSSI_SCAN/n15 [23]}),
- .fx({\FM_RSSI_SCAN/n15 [26],\FM_RSSI_SCAN/n15 [24]}));
- EG_PHY_LSLICE #(
- //.MACRO("u2/ucin_al_u3984"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u2/u3_al_u3985 (
- .a({\FM_RSSI_SCAN/RSSI_SUM [5],\FM_RSSI_SCAN/RSSI_SUM [3]}),
- .b({\FM_RSSI_SCAN/RSSI_SUM [6],\FM_RSSI_SCAN/RSSI_SUM [4]}),
- .c(2'b00),
- .d({n0[5],n0[3]}),
- .e({n0[6],n0[4]}),
- .fci(\u2/c3 ),
- .f({\FM_RSSI_SCAN/n15 [5],\FM_RSSI_SCAN/n15 [3]}),
- .fco(\u2/c7 ),
- .fx({\FM_RSSI_SCAN/n15 [6],\FM_RSSI_SCAN/n15 [4]}));
- EG_PHY_LSLICE #(
- //.MACRO("u2/ucin_al_u3984"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u2/u7_al_u3986 (
- .a({\FM_RSSI_SCAN/RSSI_SUM [9],\FM_RSSI_SCAN/RSSI_SUM [7]}),
- .b({\FM_RSSI_SCAN/RSSI_SUM [10],\FM_RSSI_SCAN/RSSI_SUM [8]}),
- .c(2'b00),
- .d({n0[9],n0[7]}),
- .e({n0[10],n0[8]}),
- .fci(\u2/c7 ),
- .f({\FM_RSSI_SCAN/n15 [9],\FM_RSSI_SCAN/n15 [7]}),
- .fco(\u2/c11 ),
- .fx({\FM_RSSI_SCAN/n15 [10],\FM_RSSI_SCAN/n15 [8]}));
- EG_PHY_LSLICE #(
- //.MACRO("u2/ucin_al_u3984"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u2/ucin_al_u3984 (
- .a({\FM_RSSI_SCAN/RSSI_SUM [1],1'b0}),
- .b({\FM_RSSI_SCAN/RSSI_SUM [2],\FM_RSSI_SCAN/RSSI_SUM [0]}),
- .c(2'b00),
- .d({n0[1],1'b1}),
- .e({n0[2],n0[0]}),
- .f({\FM_RSSI_SCAN/n15 [1],open_n37613}),
- .fco(\u2/c3 ),
- .fx({\FM_RSSI_SCAN/n15 [2],\FM_RSSI_SCAN/n15 [0]}));
-
-endmodule
-
-module AL_BUFKEEP
- (
- i,
- o
- );
-
- input i;
- output o;
-
- parameter KEEP = "OUT";
-
- buf u1 (o, i);
-
-endmodule
-
-module cortexm0ds_logic // ../rtl/topmodule/cortexm0ds_logic.v(27)
- (
- CDBGPWRUPACK,
- DBGRESETn,
- DBGRESTART,
- DCLK,
- ECOREVNUM,
- EDBGRQ,
- FCLK,
- HCLK,
- HRDATA,
- HREADY,
- HRESETn,
- HRESP,
- IRQ,
- IRQLATENCY,
- NMI,
- PORESETn,
- RSTBYPASS,
- RXEV,
- SCLK,
- SE,
- SLEEPHOLDREQn,
- STCALIB,
- STCLKEN,
- SWCLKTCK,
- SWDITMS,
- TDI,
- WICENREQ,
- nTRST,
- CDBGPWRUPREQ,
- CODEHINTDE,
- CODENSEQ,
- DBGRESTARTED,
- GATEHCLK,
- HADDR,
- HALTED,
- HBURST,
- HMASTER,
- HMASTLOCK,
- HPROT,
- HSIZE,
- HTRANS,
- HWDATA,
- HWRITE,
- LOCKUP,
- SLEEPDEEP,
- SLEEPHOLDACKn,
- SLEEPING,
- SPECHTRANS,
- SWDO,
- SWDOEN,
- SYSRESETREQ,
- TDO,
- TXEV,
- WAKEUP,
- WICENACK,
- WICSENSE,
- nTDOEN,
- vis_apsr_o,
- vis_control_o,
- vis_ipsr_o,
- vis_msp_o,
- vis_pc_o,
- vis_primask_o,
- vis_psp_o,
- vis_r0_o,
- vis_r10_o,
- vis_r11_o,
- vis_r12_o,
- vis_r14_o,
- vis_r1_o,
- vis_r2_o,
- vis_r3_o,
- vis_r4_o,
- vis_r5_o,
- vis_r6_o,
- vis_r7_o,
- vis_r8_o,
- vis_r9_o,
- vis_tbit_o
- );
-
- input CDBGPWRUPACK; // ../rtl/topmodule/cortexm0ds_logic.v(92)
- input DBGRESETn; // ../rtl/topmodule/cortexm0ds_logic.v(77)
- input DBGRESTART; // ../rtl/topmodule/cortexm0ds_logic.v(85)
- input DCLK; // ../rtl/topmodule/cortexm0ds_logic.v(75)
- input [27:0] ECOREVNUM; // ../rtl/topmodule/cortexm0ds_logic.v(51)
- input EDBGRQ; // ../rtl/topmodule/cortexm0ds_logic.v(86)
- input FCLK; // ../rtl/topmodule/cortexm0ds_logic.v(72)
- input HCLK; // ../rtl/topmodule/cortexm0ds_logic.v(74)
- input [31:0] HRDATA; // ../rtl/topmodule/cortexm0ds_logic.v(46)
- input HREADY; // ../rtl/topmodule/cortexm0ds_logic.v(81)
- input HRESETn; // ../rtl/topmodule/cortexm0ds_logic.v(78)
- input HRESP; // ../rtl/topmodule/cortexm0ds_logic.v(82)
- input [31:0] IRQ; // ../rtl/topmodule/cortexm0ds_logic.v(48)
- input [7:0] IRQLATENCY; // ../rtl/topmodule/cortexm0ds_logic.v(50)
- input NMI; // ../rtl/topmodule/cortexm0ds_logic.v(87)
- input PORESETn; // ../rtl/topmodule/cortexm0ds_logic.v(76)
- input RSTBYPASS; // ../rtl/topmodule/cortexm0ds_logic.v(94)
- input RXEV; // ../rtl/topmodule/cortexm0ds_logic.v(88)
- input SCLK; // ../rtl/topmodule/cortexm0ds_logic.v(73)
- input SE; // ../rtl/topmodule/cortexm0ds_logic.v(93)
- input SLEEPHOLDREQn; // ../rtl/topmodule/cortexm0ds_logic.v(90)
- input [25:0] STCALIB; // ../rtl/topmodule/cortexm0ds_logic.v(49)
- input STCLKEN; // ../rtl/topmodule/cortexm0ds_logic.v(89)
- input SWCLKTCK; // ../rtl/topmodule/cortexm0ds_logic.v(79)
- input SWDITMS; // ../rtl/topmodule/cortexm0ds_logic.v(83)
- input TDI; // ../rtl/topmodule/cortexm0ds_logic.v(84)
- input WICENREQ; // ../rtl/topmodule/cortexm0ds_logic.v(91)
- input nTRST; // ../rtl/topmodule/cortexm0ds_logic.v(80)
- output CDBGPWRUPREQ; // ../rtl/topmodule/cortexm0ds_logic.v(115)
- output [2:0] CODEHINTDE; // ../rtl/topmodule/cortexm0ds_logic.v(47)
- output CODENSEQ; // ../rtl/topmodule/cortexm0ds_logic.v(98)
- output DBGRESTARTED; // ../rtl/topmodule/cortexm0ds_logic.v(104)
- output GATEHCLK; // ../rtl/topmodule/cortexm0ds_logic.v(109)
- output [31:0] HADDR; // ../rtl/topmodule/cortexm0ds_logic.v(40)
- output HALTED; // ../rtl/topmodule/cortexm0ds_logic.v(105)
- output [2:0] HBURST; // ../rtl/topmodule/cortexm0ds_logic.v(41)
- output HMASTER; // ../rtl/topmodule/cortexm0ds_logic.v(97)
- output HMASTLOCK; // ../rtl/topmodule/cortexm0ds_logic.v(95)
- output [3:0] HPROT; // ../rtl/topmodule/cortexm0ds_logic.v(42)
- output [2:0] HSIZE; // ../rtl/topmodule/cortexm0ds_logic.v(43)
- output [1:0] HTRANS; // ../rtl/topmodule/cortexm0ds_logic.v(44)
- output [31:0] HWDATA; // ../rtl/topmodule/cortexm0ds_logic.v(45)
- output HWRITE; // ../rtl/topmodule/cortexm0ds_logic.v(96)
- output LOCKUP; // ../rtl/topmodule/cortexm0ds_logic.v(107)
- output SLEEPDEEP; // ../rtl/topmodule/cortexm0ds_logic.v(111)
- output SLEEPHOLDACKn; // ../rtl/topmodule/cortexm0ds_logic.v(113)
- output SLEEPING; // ../rtl/topmodule/cortexm0ds_logic.v(110)
- output SPECHTRANS; // ../rtl/topmodule/cortexm0ds_logic.v(99)
- output SWDO; // ../rtl/topmodule/cortexm0ds_logic.v(100)
- output SWDOEN; // ../rtl/topmodule/cortexm0ds_logic.v(101)
- output SYSRESETREQ; // ../rtl/topmodule/cortexm0ds_logic.v(108)
- output TDO; // ../rtl/topmodule/cortexm0ds_logic.v(102)
- output TXEV; // ../rtl/topmodule/cortexm0ds_logic.v(106)
- output WAKEUP; // ../rtl/topmodule/cortexm0ds_logic.v(112)
- output WICENACK; // ../rtl/topmodule/cortexm0ds_logic.v(114)
- output [33:0] WICSENSE; // ../rtl/topmodule/cortexm0ds_logic.v(52)
- output nTDOEN; // ../rtl/topmodule/cortexm0ds_logic.v(103)
- output [3:0] vis_apsr_o; // ../rtl/topmodule/cortexm0ds_logic.v(70)
- output vis_control_o; // ../rtl/topmodule/cortexm0ds_logic.v(117)
- output [5:0] vis_ipsr_o; // ../rtl/topmodule/cortexm0ds_logic.v(71)
- output [29:0] vis_msp_o; // ../rtl/topmodule/cortexm0ds_logic.v(67)
- output [30:0] vis_pc_o; // ../rtl/topmodule/cortexm0ds_logic.v(69)
- output vis_primask_o; // ../rtl/topmodule/cortexm0ds_logic.v(118)
- output [29:0] vis_psp_o; // ../rtl/topmodule/cortexm0ds_logic.v(68)
- output [31:0] vis_r0_o; // ../rtl/topmodule/cortexm0ds_logic.v(53)
- output [31:0] vis_r10_o; // ../rtl/topmodule/cortexm0ds_logic.v(63)
- output [31:0] vis_r11_o; // ../rtl/topmodule/cortexm0ds_logic.v(64)
- output [31:0] vis_r12_o; // ../rtl/topmodule/cortexm0ds_logic.v(65)
- output [31:0] vis_r14_o; // ../rtl/topmodule/cortexm0ds_logic.v(66)
- output [31:0] vis_r1_o; // ../rtl/topmodule/cortexm0ds_logic.v(54)
- output [31:0] vis_r2_o; // ../rtl/topmodule/cortexm0ds_logic.v(55)
- output [31:0] vis_r3_o; // ../rtl/topmodule/cortexm0ds_logic.v(56)
- output [31:0] vis_r4_o; // ../rtl/topmodule/cortexm0ds_logic.v(57)
- output [31:0] vis_r5_o; // ../rtl/topmodule/cortexm0ds_logic.v(58)
- output [31:0] vis_r6_o; // ../rtl/topmodule/cortexm0ds_logic.v(59)
- output [31:0] vis_r7_o; // ../rtl/topmodule/cortexm0ds_logic.v(60)
- output [31:0] vis_r8_o; // ../rtl/topmodule/cortexm0ds_logic.v(61)
- output [31:0] vis_r9_o; // ../rtl/topmodule/cortexm0ds_logic.v(62)
- output vis_tbit_o; // ../rtl/topmodule/cortexm0ds_logic.v(116)
-
- wire [31:0] Idfpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1528)
- wire [23:0] L6gpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1545)
- wire [31:0] Mifpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1531)
- wire [30:2] N5fpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1523)
- wire [31:0] Ntkbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1719)
- wire [31:0] Nvkbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1720)
- wire [33:0] Nxkbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1721)
- wire [1:0] Pkhpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1577)
- wire [30:0] Qbfpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1527)
- wire [31:0] Tgfpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1530)
- wire [7:0] Vnfpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1534)
- wire [33:0] Vrkbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1718)
- wire [8:1] Xlfpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1533)
- wire [6:0] Zehpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1573)
- wire [30:0] Zsfpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1537)
- wire [13:0] n135;
- wire [13:0] n159;
- wire A00iu6; // ../rtl/topmodule/cortexm0ds_logic.v(303)
- wire A06ju6; // ../rtl/topmodule/cortexm0ds_logic.v(865)
- wire A0fow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1047)
- wire A0ziu6; // ../rtl/topmodule/cortexm0ds_logic.v(771)
- wire A1zhu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(290)
- wire A25iu6; // ../rtl/topmodule/cortexm0ds_logic.v(371)
- wire A2ciu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(465)
- wire A2yhu6; // ../rtl/topmodule/cortexm0ds_logic.v(277)
- wire A3iiu6; // ../rtl/topmodule/cortexm0ds_logic.v(545)
- wire A3xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(264)
- wire A4phu6; // ../rtl/topmodule/cortexm0ds_logic.v(158)
- wire A5ipw6; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
- wire A5vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(238)
- wire A6cbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
- wire A6gow6; // ../rtl/topmodule/cortexm0ds_logic.v(1063)
- wire A6now6; // ../rtl/topmodule/cortexm0ds_logic.v(1156)
- wire A70iu6; // ../rtl/topmodule/cortexm0ds_logic.v(306)
- wire A85ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(855)
- wire A8zow6; // ../rtl/topmodule/cortexm0ds_logic.v(1317)
- wire A95iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(374)
- wire A9rhu6; // ../rtl/topmodule/cortexm0ds_logic.v(186)
- wire Aa2bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
- wire Aaiiu6; // ../rtl/topmodule/cortexm0ds_logic.v(548)
- wire Ab2ju6; // ../rtl/topmodule/cortexm0ds_logic.v(816)
- wire Ab9ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
- wire Abphu6; // ../rtl/topmodule/cortexm0ds_logic.v(160)
- wire Acebx6; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
- wire Acohu6; // ../rtl/topmodule/cortexm0ds_logic.v(147)
- wire Acvhu6; // ../rtl/topmodule/cortexm0ds_logic.v(241)
- wire Ad7ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
- wire Admiu6; // ../rtl/topmodule/cortexm0ds_logic.v(602)
- wire Aduhu6; // ../rtl/topmodule/cortexm0ds_logic.v(228)
- wire Ae0iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(309)
- wire Ag5iu6; // ../rtl/topmodule/cortexm0ds_logic.v(376)
- wire Agjiu6; // ../rtl/topmodule/cortexm0ds_logic.v(563)
- wire Ahcow6; // ../rtl/topmodule/cortexm0ds_logic.v(1013)
- wire Ahdax6; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
- wire Ahdbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
- wire Ahlpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
- wire Ahqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
- wire Ajgiu6; // ../rtl/topmodule/cortexm0ds_logic.v(524)
- wire Ajohu6; // ../rtl/topmodule/cortexm0ds_logic.v(150)
- wire Ajuiu6; // ../rtl/topmodule/cortexm0ds_logic.v(712)
- wire Alkhu6; // ../rtl/topmodule/cortexm0ds_logic.v(137)
- wire Altow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
- wire Alziu6; // ../rtl/topmodule/cortexm0ds_logic.v(779)
- wire Am5ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(860)
- wire Am6iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(392)
- wire Amsow6; // ../rtl/topmodule/cortexm0ds_logic.v(1229)
- wire Amupw6; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
- wire An5pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1403)
- wire Anciu6; // ../rtl/topmodule/cortexm0ds_logic.v(472)
- wire Anrhu6; // ../rtl/topmodule/cortexm0ds_logic.v(192)
- wire Aoeax6; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
- wire Apcax6; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
- wire Aqniu6; // ../rtl/topmodule/cortexm0ds_logic.v(621)
- wire Ar1bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
- wire Ar1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(327)
- wire Asthu6; // ../rtl/topmodule/cortexm0ds_logic.v(220)
- wire Asupw6; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
- wire Atsow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1232)
- wire Aujiu6; // ../rtl/topmodule/cortexm0ds_logic.v(569)
- wire Aujpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
- wire Auyax6; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
- wire Avwiu6; // ../rtl/topmodule/cortexm0ds_logic.v(743)
- wire Avzax6; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
- wire Aw4bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
- wire Axohu6; // ../rtl/topmodule/cortexm0ds_logic.v(155)
- wire Ay1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(329)
- wire Ay8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(423)
- wire Ayuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(236)
- wire Az3bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
- wire Azeiu6; // ../rtl/topmodule/cortexm0ds_logic.v(504)
- wire Azliu6; // ../rtl/topmodule/cortexm0ds_logic.v(597)
- wire B0cow6; // ../rtl/topmodule/cortexm0ds_logic.v(1007)
- wire B0iiu6; // ../rtl/topmodule/cortexm0ds_logic.v(544)
- wire B1phu6; // ../rtl/topmodule/cortexm0ds_logic.v(157)
- wire B2vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(237)
- wire B3gbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
- wire B40iu6; // ../rtl/topmodule/cortexm0ds_logic.v(305)
- wire B4epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
- wire B4mow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1142)
- wire B6cpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1490)
- wire B74iu6; // ../rtl/topmodule/cortexm0ds_logic.v(359)
- wire B79bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
- wire B7lpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
- wire B7xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(266)
- wire B8phu6; // ../rtl/topmodule/cortexm0ds_logic.v(159)
- wire B91ju6; // ../rtl/topmodule/cortexm0ds_logic.v(801)
- wire B9eax6; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
- wire B9jbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
- wire Bamiu6; // ../rtl/topmodule/cortexm0ds_logic.v(601)
- wire Bauhu6; // ../rtl/topmodule/cortexm0ds_logic.v(227)
- wire Bb0iu6; // ../rtl/topmodule/cortexm0ds_logic.v(307)
- wire Bbliu6; // ../rtl/topmodule/cortexm0ds_logic.v(588)
- wire Bc3bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
- wire Bcabx6; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
- wire Bccax6; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
- wire Bcdbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
- wire Bcgax6; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
- wire Bciax6; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
- wire Bclow6; // ../rtl/topmodule/cortexm0ds_logic.v(1132)
- wire Bclpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
- wire Bddow6; // ../rtl/topmodule/cortexm0ds_logic.v(1025)
- wire Bepiu6; // ../rtl/topmodule/cortexm0ds_logic.v(643)
- wire Bewiu6; // ../rtl/topmodule/cortexm0ds_logic.v(736)
- wire Bf3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
- wire Bfphu6; // ../rtl/topmodule/cortexm0ds_logic.v(162)
- wire Bggiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(523)
- wire Bgohu6; // ../rtl/topmodule/cortexm0ds_logic.v(149)
- wire Bguiu6; // ../rtl/topmodule/cortexm0ds_logic.v(710)
- wire Bi0iu6; // ../rtl/topmodule/cortexm0ds_logic.v(310)
- wire Biaax6; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
- wire Bimow6; // ../rtl/topmodule/cortexm0ds_logic.v(1147)
- wire Bisiu6; // ../rtl/topmodule/cortexm0ds_logic.v(684)
- wire Bithu6; // ../rtl/topmodule/cortexm0ds_logic.v(216)
- wire Bk7ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
- wire Bngax6; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
- wire Bnohu6; // ../rtl/topmodule/cortexm0ds_logic.v(151)
- wire Bo1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(326)
- wire Bo8pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1444)
- wire Bomiu6; // ../rtl/topmodule/cortexm0ds_logic.v(606)
- wire Bouhu6; // ../rtl/topmodule/cortexm0ds_logic.v(232)
- wire Bp2qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
- wire Bpliu6; // ../rtl/topmodule/cortexm0ds_logic.v(593)
- wire Bpthu6; // ../rtl/topmodule/cortexm0ds_logic.v(219)
- wire Bq9ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
- wire Bs4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(367)
- wire Bsxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(274)
- wire Bt2qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
- wire Btbbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
- wire Btoiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(635)
- wire Bu6bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1691)
- wire Buabx6; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
- wire Buohu6; // ../rtl/topmodule/cortexm0ds_logic.v(154)
- wire Bvaax6; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
- wire Bvfbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
- wire Bvuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(235)
- wire Bwdax6; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
- wire Bwliu6; // ../rtl/topmodule/cortexm0ds_logic.v(596)
- wire Bx2qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
- wire Bxbax6; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
- wire Bxdpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1514)
- wire Bxzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(302)
- wire By4ju6; // ../rtl/topmodule/cortexm0ds_logic.v(851)
- wire Bzxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(276)
- wire C01iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(317)
- wire C07bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1692)
- wire C0fiu6; // ../rtl/topmodule/cortexm0ds_logic.v(504)
- wire C10bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
- wire C10iu6; // ../rtl/topmodule/cortexm0ds_logic.v(304)
- wire C14bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
- wire C1epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1515)
- wire C1fax6; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
- wire C1wpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1610)
- wire C2ypw6; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
- wire C30bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
- wire C34ju6; // ../rtl/topmodule/cortexm0ds_logic.v(839)
- wire C3wpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1610)
- wire C3yhu6; // ../rtl/topmodule/cortexm0ds_logic.v(278)
- wire C4dax6; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
- wire C4ihu6; // ../rtl/topmodule/cortexm0ds_logic.v(130)
- wire C4iiu6; // ../rtl/topmodule/cortexm0ds_logic.v(546)
- wire C50bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
- wire C53iu6; // ../rtl/topmodule/cortexm0ds_logic.v(345)
- wire C59ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(907)
- wire C5gbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
- wire C5phu6; // ../rtl/topmodule/cortexm0ds_logic.v(158)
- wire C6vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(239)
- wire C72qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
- wire C7miu6; // ../rtl/topmodule/cortexm0ds_logic.v(600)
- wire C7now6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1157)
- wire C80iu6; // ../rtl/topmodule/cortexm0ds_logic.v(306)
- wire C96pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1411)
- wire Ca1bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
- wire Carow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1211)
- wire Cbbiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(455)
- wire Cc2ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(816)
- wire Cccbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
- wire Ccphu6; // ../rtl/topmodule/cortexm0ds_logic.v(161)
- wire Cdohu6; // ../rtl/topmodule/cortexm0ds_logic.v(148)
- wire Ceabx6; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
- wire Cemiu6; // ../rtl/topmodule/cortexm0ds_logic.v(603)
- wire Ceuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(228)
- wire Cfliu6; // ../rtl/topmodule/cortexm0ds_logic.v(590)
- wire Cfsiu6; // ../rtl/topmodule/cortexm0ds_logic.v(683)
- wire Cfthu6; // ../rtl/topmodule/cortexm0ds_logic.v(215)
- wire Cfvpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1609)
- wire Cfziu6; // ../rtl/topmodule/cortexm0ds_logic.v(777)
- wire Cg5ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(858)
- wire Cgkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(577)
- wire Ch5iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(377)
- wire Chwpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1610)
- wire Cjiow6; // ../rtl/topmodule/cortexm0ds_logic.v(1094)
- wire Cjqpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
- wire Cjwpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
- wire Ckniu6; // ../rtl/topmodule/cortexm0ds_logic.v(618)
- wire Ckohu6; // ../rtl/topmodule/cortexm0ds_logic.v(150)
- wire Cl1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(325)
- wire Clihu6; // ../rtl/topmodule/cortexm0ds_logic.v(131)
- wire Cmthu6; // ../rtl/topmodule/cortexm0ds_logic.v(218)
- wire Cmziu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(780)
- wire Cn7ow6; // ../rtl/topmodule/cortexm0ds_logic.v(949)
- wire Cncbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
- wire Cndbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
- wire Coupw6; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
- wire Cpbpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1484)
- wire Cpqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1203)
- wire Cpwiu6; // ../rtl/topmodule/cortexm0ds_logic.v(741)
- wire Cq3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
- wire Crniu6; // ../rtl/topmodule/cortexm0ds_logic.v(621)
- wire Crohu6; // ../rtl/topmodule/cortexm0ds_logic.v(153)
- wire Cs1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(327)
- wire Csmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(608)
- wire Csnow6; // ../rtl/topmodule/cortexm0ds_logic.v(1164)
- wire Csuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(234)
- wire Ctliu6; // ../rtl/topmodule/cortexm0ds_logic.v(595)
- wire Ctthu6; // ../rtl/topmodule/cortexm0ds_logic.v(221)
- wire Cvciu6; // ../rtl/topmodule/cortexm0ds_logic.v(475)
- wire Cwiiu6; // ../rtl/topmodule/cortexm0ds_logic.v(556)
- wire Cwxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(275)
- wire Cwyax6; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
- wire Cxcbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
- wire Cxzax6; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
- wire Cy4bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
- wire Cy9iu6; // ../rtl/topmodule/cortexm0ds_logic.v(436)
- wire Cydbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
- wire Cykhu6; // ../rtl/topmodule/cortexm0ds_logic.v(138)
- wire Cyohu6; // ../rtl/topmodule/cortexm0ds_logic.v(156)
- wire Cz7ju6; // ../rtl/topmodule/cortexm0ds_logic.v(891)
- wire Cz8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(423)
- wire Czmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(611)
- wire Cznow6; // ../rtl/topmodule/cortexm0ds_logic.v(1167)
- wire Czuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(236)
- wire Czzax6; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
- wire D0jiu6; // ../rtl/topmodule/cortexm0ds_logic.v(557)
- wire D0yhu6; // ../rtl/topmodule/cortexm0ds_logic.v(277)
- wire D1aax6; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
- wire D1piu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(638)
- wire D2opw6; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
- wire D2phu6; // ../rtl/topmodule/cortexm0ds_logic.v(157)
- wire D2rpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
- wire D31ju6; // ../rtl/topmodule/cortexm0ds_logic.v(799)
- wire D39iu6; // ../rtl/topmodule/cortexm0ds_logic.v(425)
- wire D3vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(238)
- wire D43qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
- wire D4miu6; // ../rtl/topmodule/cortexm0ds_logic.v(599)
- wire D50iu6; // ../rtl/topmodule/cortexm0ds_logic.v(305)
- wire D5epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1517)
- wire D6kiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(573)
- wire D6zhu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(292)
- wire D70bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
- wire D7gbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
- wire D7xiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(747)
- wire D84iu6; // ../rtl/topmodule/cortexm0ds_logic.v(360)
- wire D8iiu6; // ../rtl/topmodule/cortexm0ds_logic.v(547)
- wire D8xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(266)
- wire D99ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
- wire D9phu6; // ../rtl/topmodule/cortexm0ds_logic.v(160)
- wire Daebx6; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
- wire Daiax6; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
- wire Dbmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(602)
- wire Dbuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(227)
- wire Dc0iu6; // ../rtl/topmodule/cortexm0ds_logic.v(308)
- wire Dcziu6; // ../rtl/topmodule/cortexm0ds_logic.v(776)
- wire Dd7ow6; // ../rtl/topmodule/cortexm0ds_logic.v(945)
- wire Df3ju6; // ../rtl/topmodule/cortexm0ds_logic.v(830)
- wire Df4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(362)
- wire Dfbax6; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
- wire Dfqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
- wire Dg2qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
- wire Dgphu6; // ../rtl/topmodule/cortexm0ds_logic.v(162)
- wire Dhniu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(617)
- wire Dhohu6; // ../rtl/topmodule/cortexm0ds_logic.v(149)
- wire Dhvhu6; // ../rtl/topmodule/cortexm0ds_logic.v(243)
- wire Di1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(323)
- wire Di3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
- wire Difiu6; // ../rtl/topmodule/cortexm0ds_logic.v(511)
- wire Digow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1067)
- wire Djthu6; // ../rtl/topmodule/cortexm0ds_logic.v(217)
- wire Dk7ow6; // ../rtl/topmodule/cortexm0ds_logic.v(947)
- wire Dk9bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
- wire Dkkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(578)
- wire Dm3ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(833)
- wire Dm6bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1691)
- wire Dmeax6; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
- wire Dmiiu6; // ../rtl/topmodule/cortexm0ds_logic.v(552)
- wire Dmpiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(646)
- wire Dmqhu6; // ../rtl/topmodule/cortexm0ds_logic.v(178)
- wire Dmqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1202)
- wire Dncax6; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
- wire Do1ju6; // ../rtl/topmodule/cortexm0ds_logic.v(807)
- wire Doohu6; // ../rtl/topmodule/cortexm0ds_logic.v(152)
- wire Dpuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(232)
- wire Dpwpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
- wire Dq6ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(875)
- wire Dqfhu6; // ../rtl/topmodule/cortexm0ds_logic.v(125)
- wire Dqmow6; // ../rtl/topmodule/cortexm0ds_logic.v(1150)
- wire Drcbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
- wire Drkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(581)
- wire Ds4ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(849)
- wire Dsrhu6; // ../rtl/topmodule/cortexm0ds_logic.v(193)
- wire Dsyhu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(287)
- wire Dt1bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
- wire Dt4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(368)
- wire Dtxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(274)
- wire Dugax6; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
- wire Dv2bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
- wire Dw1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(329)
- wire Dwuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(235)
- wire Dxvpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1609)
- wire Dyeow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1046)
- wire Dyzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(303)
- wire Dzdow6; // ../rtl/topmodule/cortexm0ds_logic.v(1033)
- wire Dzvpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1610)
- wire E05bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
- wire E0ihu6; // ../rtl/topmodule/cortexm0ds_logic.v(130)
- wire E0vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(237)
- wire E18iu6; // ../rtl/topmodule/cortexm0ds_logic.v(411)
- wire E1fiu6; // ../rtl/topmodule/cortexm0ds_logic.v(504)
- wire E1miu6; // ../rtl/topmodule/cortexm0ds_logic.v(598)
- wire E20iu6; // ../rtl/topmodule/cortexm0ds_logic.v(304)
- wire E2epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
- wire E2liu6; // ../rtl/topmodule/cortexm0ds_logic.v(585)
- wire E34bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
- wire E3sow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
- wire E4yhu6; // ../rtl/topmodule/cortexm0ds_logic.v(278)
- wire E54iu6; // ../rtl/topmodule/cortexm0ds_logic.v(359)
- wire E5jow6; // ../rtl/topmodule/cortexm0ds_logic.v(1102)
- wire E6hiu6; // ../rtl/topmodule/cortexm0ds_logic.v(533)
- wire E6iax6; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
- wire E7vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(239)
- wire E88iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(413)
- wire E8iax6; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
- wire E8miu6; // ../rtl/topmodule/cortexm0ds_logic.v(601)
- wire E8now6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1157)
- wire E8uow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1251)
- wire E90bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
- wire E90iu6; // ../rtl/topmodule/cortexm0ds_logic.v(307)
- wire E97ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
- wire E9ziu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(775)
- wire Ea7ow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(944)
- wire Eafax6; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
- wire Eagax6; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
- wire Eariu6; // ../rtl/topmodule/cortexm0ds_logic.v(668)
- wire Eccow6; // ../rtl/topmodule/cortexm0ds_logic.v(1011)
- wire Ecxow6; // ../rtl/topmodule/cortexm0ds_logic.v(1292)
- wire Ed3iu6; // ../rtl/topmodule/cortexm0ds_logic.v(348)
- wire Edapw6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1466)
- wire Edphu6; // ../rtl/topmodule/cortexm0ds_logic.v(161)
- wire Eegiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(523)
- wire Eeohu6; // ../rtl/topmodule/cortexm0ds_logic.v(148)
- wire Ef8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(416)
- wire Efdax6; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
- wire Efgow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1066)
- wire Eg7iu6; // ../rtl/topmodule/cortexm0ds_logic.v(403)
- wire Egaax6; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
- wire Eghbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
- wire Egthu6; // ../rtl/topmodule/cortexm0ds_logic.v(216)
- wire Egziu6; // ../rtl/topmodule/cortexm0ds_logic.v(777)
- wire Ehihu6; // ../rtl/topmodule/cortexm0ds_logic.v(131)
- wire Ehqpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
- wire Eirhu6; // ../rtl/topmodule/cortexm0ds_logic.v(190)
- wire Ejaju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(926)
- wire Elgax6; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
- wire Elnpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1594)
- wire Elohu6; // ../rtl/topmodule/cortexm0ds_logic.v(151)
- wire Em0ju6; // ../rtl/topmodule/cortexm0ds_logic.v(793)
- wire Emmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(606)
- wire Enthu6; // ../rtl/topmodule/cortexm0ds_logic.v(218)
- wire Eoyiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(767)
- wire Epciu6; // ../rtl/topmodule/cortexm0ds_logic.v(473)
- wire Epjiu6; // ../rtl/topmodule/cortexm0ds_logic.v(567)
- wire Epyhu6; // ../rtl/topmodule/cortexm0ds_logic.v(286)
- wire Eqqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1204)
- wire Er9ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(915)
- wire Erbbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
- wire Eriow6; // ../rtl/topmodule/cortexm0ds_logic.v(1097)
- wire Es9pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1459)
- wire Esabx6; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
- wire Esniu6; // ../rtl/topmodule/cortexm0ds_logic.v(621)
- wire Esohu6; // ../rtl/topmodule/cortexm0ds_logic.v(153)
- wire Etfbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
- wire Etmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(608)
- wire Etuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(234)
- wire Eudax6; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
- wire Eutow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1245)
- wire Evbax6; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
- wire Evhpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
- wire Evkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(582)
- wire Evzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(302)
- wire Ew5pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1407)
- wire Ewjiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(569)
- wire Exxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(276)
- wire Eyihu6; // ../rtl/topmodule/cortexm0ds_logic.v(132)
- wire Eyoiu6; // ../rtl/topmodule/cortexm0ds_logic.v(637)
- wire Eyyax6; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
- wire Ez1ju6; // ../rtl/topmodule/cortexm0ds_logic.v(811)
- wire Ezohu6; // ../rtl/topmodule/cortexm0ds_logic.v(156)
- wire F0eow6; // ../rtl/topmodule/cortexm0ds_logic.v(1034)
- wire F0riu6; // ../rtl/topmodule/cortexm0ds_logic.v(664)
- wire F0zow6; // ../rtl/topmodule/cortexm0ds_logic.v(1314)
- wire F14ju6; // ../rtl/topmodule/cortexm0ds_logic.v(839)
- wire F17ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
- wire F1yhu6; // ../rtl/topmodule/cortexm0ds_logic.v(277)
- wire F24iu6; // ../rtl/topmodule/cortexm0ds_logic.v(358)
- wire F26bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1690)
- wire F2dax6; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
- wire F2xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(264)
- wire F33pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1369)
- wire F3aiu6; // ../rtl/topmodule/cortexm0ds_logic.v(438)
- wire F3phu6; // ../rtl/topmodule/cortexm0ds_logic.v(157)
- wire F4iax6; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
- wire F4ibx6; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
- wire F4vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(238)
- wire F51pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1343)
- wire F59bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
- wire F5miu6; // ../rtl/topmodule/cortexm0ds_logic.v(599)
- wire F60iu6; // ../rtl/topmodule/cortexm0ds_logic.v(306)
- wire F6dbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
- wire F6ziu6; // ../rtl/topmodule/cortexm0ds_logic.v(774)
- wire F7eax6; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
- wire F7jbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
- wire F7zhu6; // ../rtl/topmodule/cortexm0ds_logic.v(293)
- wire F8cbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
- wire F8dbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
- wire F93ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(828)
- wire F94iu6; // ../rtl/topmodule/cortexm0ds_logic.v(360)
- wire F9gbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
- wire F9vpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
- wire Facax6; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
- wire Facbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
- wire Faphu6; // ../rtl/topmodule/cortexm0ds_logic.v(160)
- wire Fb0bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
- wire Fb1ju6; // ../rtl/topmodule/cortexm0ds_logic.v(802)
- wire Fb2iu6; // ../rtl/topmodule/cortexm0ds_logic.v(334)
- wire Fb2pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1359)
- wire Fb9pw6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1452)
- wire Fbvhu6; // ../rtl/topmodule/cortexm0ds_logic.v(241)
- wire Fc1bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
- wire Fcuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(228)
- wire Fe2bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
- wire Ffqiu6; // ../rtl/topmodule/cortexm0ds_logic.v(657)
- wire Ffyow6; // ../rtl/topmodule/cortexm0ds_logic.v(1307)
- wire Fgpiu6; // ../rtl/topmodule/cortexm0ds_logic.v(644)
- wire Fgqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
- wire Fhoiu6; // ../rtl/topmodule/cortexm0ds_logic.v(631)
- wire Fi1ju6; // ../rtl/topmodule/cortexm0ds_logic.v(805)
- wire Finiu6; // ../rtl/topmodule/cortexm0ds_logic.v(618)
- wire Fivhu6; // ../rtl/topmodule/cortexm0ds_logic.v(243)
- wire Fj1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(324)
- wire Fj8ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
- wire Fjdbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
- wire Fk6ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(872)
- wire Fkliu6; // ../rtl/topmodule/cortexm0ds_logic.v(592)
- wire Fkrpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1602)
- wire Fl2qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
- wire Fldbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
- wire Flyiu6; // ../rtl/topmodule/cortexm0ds_logic.v(766)
- wire Flzhu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(298)
- wire Fm7ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
- wire Fmqiu6; // ../rtl/topmodule/cortexm0ds_logic.v(659)
- wire Fnnpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1594)
- wire Fnpiu6; // ../rtl/topmodule/cortexm0ds_logic.v(646)
- wire Fnqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1203)
- wire Fo9ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
- wire Fobow6; // ../rtl/topmodule/cortexm0ds_logic.v(1002)
- wire Fpaow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(989)
- wire Fpgiu6; // ../rtl/topmodule/cortexm0ds_logic.v(527)
- wire Fpnpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
- wire Fpohu6; // ../rtl/topmodule/cortexm0ds_logic.v(152)
- wire Fpvow6; // ../rtl/topmodule/cortexm0ds_logic.v(1270)
- wire Fq8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(420)
- wire Fquhu6; // ../rtl/topmodule/cortexm0ds_logic.v(233)
- wire Fr0iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(313)
- wire Frthu6; // ../rtl/topmodule/cortexm0ds_logic.v(220)
- wire Frziu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(781)
- wire Fsdiu6; // ../rtl/topmodule/cortexm0ds_logic.v(488)
- wire Ftaax6; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
- wire Fuxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(274)
- wire Fvcbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
- wire Fviow6; // ../rtl/topmodule/cortexm0ds_logic.v(1099)
- wire Fwohu6; // ../rtl/topmodule/cortexm0ds_logic.v(155)
- wire Fxuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(235)
- wire Fy8ow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(966)
- wire Fyliu6; // ../rtl/topmodule/cortexm0ds_logic.v(597)
- wire Fzkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(584)
- wire Fzsow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1234)
- wire Fzzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(303)
- wire G0phu6; // ../rtl/topmodule/cortexm0ds_logic.v(156)
- wire G0zax6; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
- wire G1vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(237)
- wire G25bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
- wire G2fiu6; // ../rtl/topmodule/cortexm0ds_logic.v(505)
- wire G2iax6; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
- wire G2miu6; // ../rtl/topmodule/cortexm0ds_logic.v(598)
- wire G30iu6; // ../rtl/topmodule/cortexm0ds_logic.v(305)
- wire G3eiu6; // ../rtl/topmodule/cortexm0ds_logic.v(492)
- wire G3epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
- wire G54bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
- wire G64iu6; // ../rtl/topmodule/cortexm0ds_logic.v(359)
- wire G6cow6; // ../rtl/topmodule/cortexm0ds_logic.v(1009)
- wire G6xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(266)
- wire G79ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
- wire G7aiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(440)
- wire G7phu6; // ../rtl/topmodule/cortexm0ds_logic.v(159)
- wire G82iu6; // ../rtl/topmodule/cortexm0ds_logic.v(333)
- wire G8ebx6; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
- wire G8how6; // ../rtl/topmodule/cortexm0ds_logic.v(1077)
- wire G8vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(240)
- wire G9fiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(507)
- wire G9uhu6; // ../rtl/topmodule/cortexm0ds_logic.v(227)
- wire Ga0iu6; // ../rtl/topmodule/cortexm0ds_logic.v(307)
- wire Gbvpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
- wire Gc1qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
- wire Gd0bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
- wire Gdihu6; // ../rtl/topmodule/cortexm0ds_logic.v(131)
- wire Gdjow6; // ../rtl/topmodule/cortexm0ds_logic.v(1105)
- wire Gdqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1199)
- wire Ge9ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(910)
- wire Gephu6; // ../rtl/topmodule/cortexm0ds_logic.v(162)
- wire Gfniu6; // ../rtl/topmodule/cortexm0ds_logic.v(617)
- wire Gfoow6; // ../rtl/topmodule/cortexm0ds_logic.v(1173)
- wire Gfvhu6; // ../rtl/topmodule/cortexm0ds_logic.v(242)
- wire Ggabx6; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
- wire Gglhu6; // ../rtl/topmodule/cortexm0ds_logic.v(139)
- wire Gh0iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(310)
- wire Ghthu6; // ../rtl/topmodule/cortexm0ds_logic.v(216)
- wire Gihbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
- wire Gk4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(364)
- wire Gkcow6; // ../rtl/topmodule/cortexm0ds_logic.v(1014)
- wire Gkeax6; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
- wire Gkqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1202)
- wire Gl1qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
- wire Glaiu6; // ../rtl/topmodule/cortexm0ds_logic.v(445)
- wire Glphu6; // ../rtl/topmodule/cortexm0ds_logic.v(164)
- wire Gm2iu6; // ../rtl/topmodule/cortexm0ds_logic.v(338)
- wire Gm9iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(432)
- wire Gmohu6; // ../rtl/topmodule/cortexm0ds_logic.v(151)
- wire Gn8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(419)
- wire Gnqpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
- wire Gnuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(232)
- wire Go0iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(312)
- wire Golpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
- wire Gothu6; // ../rtl/topmodule/cortexm0ds_logic.v(219)
- wire Gpeow6; // ../rtl/topmodule/cortexm0ds_logic.v(1043)
- wire Gpqpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
- wire Gpyiu6; // ../rtl/topmodule/cortexm0ds_logic.v(767)
- wire Gq4ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(848)
- wire Gqrow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1217)
- wire Gr2qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
- wire Grxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(273)
- wire Gt2iu6; // ../rtl/topmodule/cortexm0ds_logic.v(341)
- wire Gtohu6; // ../rtl/topmodule/cortexm0ds_logic.v(154)
- wire Guihu6; // ../rtl/topmodule/cortexm0ds_logic.v(132)
- wire Gumiu6; // ../rtl/topmodule/cortexm0ds_logic.v(609)
- wire Guuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(234)
- wire Gv0iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(315)
- wire Gv1bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
- wire Gw6bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1691)
- wire Gwdpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1514)
- wire Gwkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(583)
- wire Gwwpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
- wire Gwxpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
- wire Gwzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(302)
- wire Gxrow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1220)
- wire Gylpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
- wire Gyxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(276)
- wire Gyxpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
- wire Gz6ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1625)
- wire Gzeax6; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
- wire Gzphu6; // ../rtl/topmodule/cortexm0ds_logic.v(169)
- wire Gzviu6; // ../rtl/topmodule/cortexm0ds_logic.v(731)
- wire H00iu6; // ../rtl/topmodule/cortexm0ds_logic.v(303)
- wire H0ebx6; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
- wire H15ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(852)
- wire H1shu6; // ../rtl/topmodule/cortexm0ds_logic.v(197)
- wire H25iu6; // ../rtl/topmodule/cortexm0ds_logic.v(371)
- wire H2ciu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(465)
- wire H2yhu6; // ../rtl/topmodule/cortexm0ds_logic.v(277)
- wire H34iu6; // ../rtl/topmodule/cortexm0ds_logic.v(358)
- wire H3lpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
- wire H3xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(264)
- wire H43iu6; // ../rtl/topmodule/cortexm0ds_logic.v(345)
- wire H4bax6; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
- wire H4iow6; // ../rtl/topmodule/cortexm0ds_logic.v(1089)
- wire H4phu6; // ../rtl/topmodule/cortexm0ds_logic.v(158)
- wire H4ypw6; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
- wire H4zax6; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
- wire H5vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(238)
- wire H70iu6; // ../rtl/topmodule/cortexm0ds_logic.v(306)
- wire H78ow6; // ../rtl/topmodule/cortexm0ds_logic.v(956)
- wire H7hbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
- wire H8gax6; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
- wire H9row6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1211)
- wire Ha3ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(829)
- wire Habiu6; // ../rtl/topmodule/cortexm0ds_logic.v(454)
- wire Halax6; // ../rtl/topmodule/cortexm0ds_logic.v(1653)
- wire Hbgbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
- wire Hbphu6; // ../rtl/topmodule/cortexm0ds_logic.v(160)
- wire Hcgiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(522)
- wire Hcohu6; // ../rtl/topmodule/cortexm0ds_logic.v(147)
- wire Hcvhu6; // ../rtl/topmodule/cortexm0ds_logic.v(241)
- wire Hd8iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(415)
- wire Hdbax6; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
- wire Hdfax6; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
- wire Hduhu6; // ../rtl/topmodule/cortexm0ds_logic.v(228)
- wire Heaax6; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
- wire Hemow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1146)
- wire Hf0bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
- wire Hfshu6; // ../rtl/topmodule/cortexm0ds_logic.v(202)
- wire Hg3bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
- wire Hg7ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
- wire Hgqiu6; // ../rtl/topmodule/cortexm0ds_logic.v(657)
- wire Hgrpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
- wire Hhiiu6; // ../rtl/topmodule/cortexm0ds_logic.v(550)
- wire Hhqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
- wire Hhvpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1609)
- wire Hi9bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
- wire Hirpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
- wire Hj9pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1455)
- wire Hjgax6; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
- wire Hjohu6; // ../rtl/topmodule/cortexm0ds_logic.v(150)
- wire Hlcax6; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
- wire Hltow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
- wire Hlwpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
- wire Hlziu6; // ../rtl/topmodule/cortexm0ds_logic.v(779)
- wire Hm7ow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(948)
- wire Hmzow6; // ../rtl/topmodule/cortexm0ds_logic.v(1323)
- wire Hnrow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1216)
- wire Howiu6; // ../rtl/topmodule/cortexm0ds_logic.v(740)
- wire Hpbbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
- wire Hpcbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
- wire Hqabx6; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
- wire Hqgiu6; // ../rtl/topmodule/cortexm0ds_logic.v(527)
- wire Hrfbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
- wire Hrgow6; // ../rtl/topmodule/cortexm0ds_logic.v(1070)
- wire Hruhu6; // ../rtl/topmodule/cortexm0ds_logic.v(233)
- wire Hs8ow6; // ../rtl/topmodule/cortexm0ds_logic.v(964)
- wire Hsdax6; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
- wire Hsliu6; // ../rtl/topmodule/cortexm0ds_logic.v(595)
- wire Hsthu6; // ../rtl/topmodule/cortexm0ds_logic.v(220)
- wire Htbax6; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
- wire Htmpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1593)
- wire Htshu6; // ../rtl/topmodule/cortexm0ds_logic.v(207)
- wire Htyiu6; // ../rtl/topmodule/cortexm0ds_logic.v(769)
- wire Hv3ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(836)
- wire Hviiu6; // ../rtl/topmodule/cortexm0ds_logic.v(556)
- wire Hvjow6; // ../rtl/topmodule/cortexm0ds_logic.v(1112)
- wire Hvqhu6; // ../rtl/topmodule/cortexm0ds_logic.v(181)
- wire Hw8ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
- wire Hwhiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(543)
- wire Hwhpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
- wire Hxohu6; // ../rtl/topmodule/cortexm0ds_logic.v(155)
- wire Hymiu6; // ../rtl/topmodule/cortexm0ds_logic.v(610)
- wire Hyuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(236)
- wire Hz0iu6; // ../rtl/topmodule/cortexm0ds_logic.v(316)
- wire Hz9ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
- wire Hzliu6; // ../rtl/topmodule/cortexm0ds_logic.v(597)
- wire I0dax6; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
- wire I0opw6; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
- wire I0wiu6; // ../rtl/topmodule/cortexm0ds_logic.v(731)
- wire I13iu6; // ../rtl/topmodule/cortexm0ds_logic.v(344)
- wire I1lpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
- wire I1phu6; // ../rtl/topmodule/cortexm0ds_logic.v(157)
- wire I28ju6; // ../rtl/topmodule/cortexm0ds_logic.v(893)
- wire I2vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(237)
- wire I2zax6; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
- wire I30ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(786)
- wire I31pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1342)
- wire I3fiu6; // ../rtl/topmodule/cortexm0ds_logic.v(505)
- wire I3lhu6; // ../rtl/topmodule/cortexm0ds_logic.v(138)
- wire I40iu6; // ../rtl/topmodule/cortexm0ds_logic.v(305)
- wire I45bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
- wire I46ju6; // ../rtl/topmodule/cortexm0ds_logic.v(867)
- wire I4eiu6; // ../rtl/topmodule/cortexm0ds_logic.v(492)
- wire I4epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1517)
- wire I4rpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
- wire I55ju6; // ../rtl/topmodule/cortexm0ds_logic.v(854)
- wire I5nhu6; // ../rtl/topmodule/cortexm0ds_logic.v(144)
- wire I5xax6; // ../rtl/topmodule/cortexm0ds_logic.v(1674)
- wire I6yhu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(279)
- wire I74bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
- wire I74iu6; // ../rtl/topmodule/cortexm0ds_logic.v(360)
- wire I7cow6; // ../rtl/topmodule/cortexm0ds_logic.v(1010)
- wire I7xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(266)
- wire I82ju6; // ../rtl/topmodule/cortexm0ds_logic.v(815)
- wire I8lax6; // ../rtl/topmodule/cortexm0ds_logic.v(1653)
- wire I8phu6; // ../rtl/topmodule/cortexm0ds_logic.v(159)
- wire I98ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(895)
- wire I9ihu6; // ../rtl/topmodule/cortexm0ds_logic.v(130)
- wire Ia1iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(321)
- wire Ia8iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(414)
- wire Iatiu6; // ../rtl/topmodule/cortexm0ds_logic.v(695)
- wire Iauhu6; // ../rtl/topmodule/cortexm0ds_logic.v(227)
- wire Ib0iu6; // ../rtl/topmodule/cortexm0ds_logic.v(308)
- wire Ibliu6; // ../rtl/topmodule/cortexm0ds_logic.v(588)
- wire Ibqpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1599)
- wire Ibsiu6; // ../rtl/topmodule/cortexm0ds_logic.v(682)
- wire Iczow6; // ../rtl/topmodule/cortexm0ds_logic.v(1319)
- wire Id4ju6; // ../rtl/topmodule/cortexm0ds_logic.v(843)
- wire Iddax6; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
- wire Idqiu6; // ../rtl/topmodule/cortexm0ds_logic.v(656)
- wire Idqpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1599)
- wire Ie1bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
- wire Iekax6; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
- wire If3pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1374)
- wire Ifphu6; // ../rtl/topmodule/cortexm0ds_logic.v(162)
- wire Ig2iu6; // ../rtl/topmodule/cortexm0ds_logic.v(336)
- wire Ig9pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1454)
- wire Igohu6; // ../rtl/topmodule/cortexm0ds_logic.v(149)
- wire Ih0bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
- wire Iiliu6; // ../rtl/topmodule/cortexm0ds_logic.v(591)
- wire Iimow6; // ../rtl/topmodule/cortexm0ds_logic.v(1147)
- wire Iithu6; // ../rtl/topmodule/cortexm0ds_logic.v(217)
- wire Iixpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1612)
- wire Ikhbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
- wire Im2ju6; // ../rtl/topmodule/cortexm0ds_logic.v(820)
- wire Im9ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
- wire Imhbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
- wire Imkhu6; // ../rtl/topmodule/cortexm0ds_logic.v(137)
- wire In9iu6; // ../rtl/topmodule/cortexm0ds_logic.v(432)
- wire Inohu6; // ../rtl/topmodule/cortexm0ds_logic.v(152)
- wire Invow6; // ../rtl/topmodule/cortexm0ds_logic.v(1270)
- wire Ipsiu6; // ../rtl/topmodule/cortexm0ds_logic.v(687)
- wire Iqihu6; // ../rtl/topmodule/cortexm0ds_logic.v(132)
- wire Iqsow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1231)
- wire Iqzhu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(300)
- wire Ir6ow6; // ../rtl/topmodule/cortexm0ds_logic.v(937)
- wire Irmpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1593)
- wire Irrhu6; // ../rtl/topmodule/cortexm0ds_logic.v(193)
- wire Isjpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
- wire Itbow6; // ../rtl/topmodule/cortexm0ds_logic.v(1004)
- wire Itcbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
- wire Iugiu6; // ../rtl/topmodule/cortexm0ds_logic.v(529)
- wire Iuohu6; // ../rtl/topmodule/cortexm0ds_logic.v(154)
- wire Iv1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(328)
- wire Iv1pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1353)
- wire Ivmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(609)
- wire Ixriu6; // ../rtl/topmodule/cortexm0ds_logic.v(677)
- wire Ixzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(302)
- wire Iyyhu6; // ../rtl/topmodule/cortexm0ds_logic.v(289)
- wire Iz3ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(838)
- wire Izxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(276)
- wire J0gax6; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
- wire J0iax6; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
- wire J10iu6; // ../rtl/topmodule/cortexm0ds_logic.v(304)
- wire J17iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(397)
- wire J1epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1515)
- wire J1ziu6; // ../rtl/topmodule/cortexm0ds_logic.v(772)
- wire J2sow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
- wire J39bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
- wire J3yhu6; // ../rtl/topmodule/cortexm0ds_logic.v(278)
- wire J44iu6; // ../rtl/topmodule/cortexm0ds_logic.v(358)
- wire J4cbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
- wire J4xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(265)
- wire J59ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
- wire J5eax6; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
- wire J5jbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
- wire J5phu6; // ../rtl/topmodule/cortexm0ds_logic.v(158)
- wire J62pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1357)
- wire J69pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1450)
- wire J6ebx6; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
- wire J6zax6; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
- wire J71iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(319)
- wire J77ju6; // ../rtl/topmodule/cortexm0ds_logic.v(881)
- wire J7xax6; // ../rtl/topmodule/cortexm0ds_logic.v(1674)
- wire J80iu6; // ../rtl/topmodule/cortexm0ds_logic.v(306)
- wire J80pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1331)
- wire J8cax6; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
- wire J8eiu6; // ../rtl/topmodule/cortexm0ds_logic.v(494)
- wire J8ziu6; // ../rtl/topmodule/cortexm0ds_logic.v(774)
- wire J9kiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(574)
- wire J9zhu6; // ../rtl/topmodule/cortexm0ds_logic.v(293)
- wire Jaqiu6; // ../rtl/topmodule/cortexm0ds_logic.v(655)
- wire Jckax6; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
- wire Jcphu6; // ../rtl/topmodule/cortexm0ds_logic.v(161)
- wire Jcpow6; // ../rtl/topmodule/cortexm0ds_logic.v(1185)
- wire Jdgbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
- wire Jdohu6; // ../rtl/topmodule/cortexm0ds_logic.v(148)
- wire Je8pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1440)
- wire Jeuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(228)
- wire Jf6ju6; // ../rtl/topmodule/cortexm0ds_logic.v(871)
- wire Jf7iu6; // ../rtl/topmodule/cortexm0ds_logic.v(403)
- wire Jfdbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
- wire Jflpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
- wire Jfmow6; // ../rtl/topmodule/cortexm0ds_logic.v(1146)
- wire Jfthu6; // ../rtl/topmodule/cortexm0ds_logic.v(215)
- wire Jgkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(577)
- wire Jgxpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1612)
- wire Jhebx6; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
- wire Jhrow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1214)
- wire Jieax6; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
- wire Jiiiu6; // ../rtl/topmodule/cortexm0ds_logic.v(551)
- wire Jj0bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
- wire Jkniu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(618)
- wire Jkohu6; // ../rtl/topmodule/cortexm0ds_logic.v(150)
- wire Jl3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
- wire Jl8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(418)
- wire Jlmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(605)
- wire Jn7ow6; // ../rtl/topmodule/cortexm0ds_logic.v(949)
- wire Jo4ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(847)
- wire Jo5pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1404)
- wire Johbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
- wire Jp9bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
- wire Jpmpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1593)
- wire Jraax6; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
- wire Jrhow6; // ../rtl/topmodule/cortexm0ds_logic.v(1084)
- wire Jrohu6; // ../rtl/topmodule/cortexm0ds_logic.v(153)
- wire Jrypw6; // ../rtl/topmodule/cortexm0ds_logic.v(1615)
- wire Js7ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(889)
- wire Jsmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(608)
- wire Jsuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(234)
- wire Jvdow6; // ../rtl/topmodule/cortexm0ds_logic.v(1032)
- wire Jvkpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
- wire Jvvpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1609)
- wire Jwxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(275)
- wire Jwxow6; // ../rtl/topmodule/cortexm0ds_logic.v(1300)
- wire Jx1bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
- wire Jxaiu6; // ../rtl/topmodule/cortexm0ds_logic.v(449)
- wire Jxgax6; // ../rtl/topmodule/cortexm0ds_logic.v(1645)
- wire Jy9iu6; // ../rtl/topmodule/cortexm0ds_logic.v(436)
- wire Jyohu6; // ../rtl/topmodule/cortexm0ds_logic.v(156)
- wire Jz2bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
- wire Jz8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(423)
- wire Jzmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(611)
- wire Jzuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(236)
- wire K0qiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(651)
- wire K0xiu6; // ../rtl/topmodule/cortexm0ds_logic.v(745)
- wire K0yhu6; // ../rtl/topmodule/cortexm0ds_logic.v(277)
- wire K1cow6; // ../rtl/topmodule/cortexm0ds_logic.v(1007)
- wire K2phu6; // ../rtl/topmodule/cortexm0ds_logic.v(157)
- wire K39iu6; // ../rtl/topmodule/cortexm0ds_logic.v(425)
- wire K3niu6; // ../rtl/topmodule/cortexm0ds_logic.v(612)
- wire K3vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(238)
- wire K50iu6; // ../rtl/topmodule/cortexm0ds_logic.v(305)
- wire K56ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(867)
- wire K5eiu6; // ../rtl/topmodule/cortexm0ds_logic.v(493)
- wire K5hbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
- wire K5ihu6; // ../rtl/topmodule/cortexm0ds_logic.v(130)
- wire K5liu6; // ../rtl/topmodule/cortexm0ds_logic.v(586)
- wire K65bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
- wire K66iu6; // ../rtl/topmodule/cortexm0ds_logic.v(386)
- wire K6gax6; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
- wire K7xiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(747)
- wire K7yow6; // ../rtl/topmodule/cortexm0ds_logic.v(1304)
- wire K84iu6; // ../rtl/topmodule/cortexm0ds_logic.v(360)
- wire K8qhu6; // ../rtl/topmodule/cortexm0ds_logic.v(173)
- wire K8xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(266)
- wire K94bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
- wire K9phu6; // ../rtl/topmodule/cortexm0ds_logic.v(160)
- wire Ka8ju6; // ../rtl/topmodule/cortexm0ds_logic.v(896)
- wire Kadbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
- wire Kakax6; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
- wire Kalpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
- wire Kavhu6; // ../rtl/topmodule/cortexm0ds_logic.v(240)
- wire Kbuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(227)
- wire Kc6ju6; // ../rtl/topmodule/cortexm0ds_logic.v(870)
- wire Kcaax6; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
- wire Kctow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1239)
- wire Ke1qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
- wire Kfcow6; // ../rtl/topmodule/cortexm0ds_logic.v(1013)
- wire Kgoiu6; // ../rtl/topmodule/cortexm0ds_logic.v(630)
- wire Khgax6; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
- wire Khniu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(617)
- wire Khohu6; // ../rtl/topmodule/cortexm0ds_logic.v(149)
- wire Khvhu6; // ../rtl/topmodule/cortexm0ds_logic.v(243)
- wire Khvow6; // ../rtl/topmodule/cortexm0ds_logic.v(1267)
- wire Ki3bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
- wire Kigow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1067)
- wire Kikhu6; // ../rtl/topmodule/cortexm0ds_logic.v(137)
- wire Kjthu6; // ../rtl/topmodule/cortexm0ds_logic.v(217)
- wire Kjziu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(779)
- wire Kkkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(578)
- wire Kkriu6; // ../rtl/topmodule/cortexm0ds_logic.v(672)
- wire Kkyiu6; // ../rtl/topmodule/cortexm0ds_logic.v(766)
- wire Kl0bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
- wire Kl4ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(846)
- wire Kl8ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
- wire Klciu6; // ../rtl/topmodule/cortexm0ds_logic.v(472)
- wire Kldow6; // ../rtl/topmodule/cortexm0ds_logic.v(1028)
- wire Klrow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1215)
- wire Klyow6; // ../rtl/topmodule/cortexm0ds_logic.v(1309)
- wire Kmiiu6; // ../rtl/topmodule/cortexm0ds_logic.v(552)
- wire Kmqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1202)
- wire Kn1qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
- wire Kn2qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
- wire Knbbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
- wire Knwow6; // ../rtl/topmodule/cortexm0ds_logic.v(1283)
- wire Koabx6; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
- wire Kojpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
- wire Koohu6; // ../rtl/topmodule/cortexm0ds_logic.v(152)
- wire Kpfbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
- wire Kpuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(233)
- wire Kq7iu6; // ../rtl/topmodule/cortexm0ds_logic.v(407)
- wire Kqdax6; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
- wire Kqhbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
- wire Kqziu6; // ../rtl/topmodule/cortexm0ds_logic.v(781)
- wire Kr7ow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(950)
- wire Krbax6; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
- wire Krkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(581)
- wire Krlpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
- wire Krzhu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(300)
- wire Ksgax6; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
- wire Kshbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
- wire Kswpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
- wire Kt4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(368)
- wire Kuphu6; // ../rtl/topmodule/cortexm0ds_logic.v(168)
- wire Kupow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1192)
- wire Kv9iu6; // ../rtl/topmodule/cortexm0ds_logic.v(435)
- wire Kw1iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(329)
- wire Kwfiu6; // ../rtl/topmodule/cortexm0ds_logic.v(516)
- wire Kwlpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
- wire Kwuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(235)
- wire Kxeax6; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
- wire Kxhpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
- wire Kxziu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(784)
- wire Kyzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(303)
- wire Kzabx6; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
- wire Kzkhu6; // ../rtl/topmodule/cortexm0ds_logic.v(138)
- wire L03qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
- wire L0vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(237)
- wire L0ypw6; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
- wire L18iu6; // ../rtl/topmodule/cortexm0ds_logic.v(411)
- wire L1bbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
- wire L20iu6; // ../rtl/topmodule/cortexm0ds_logic.v(304)
- wire L20pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1329)
- wire L2bax6; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
- wire L2epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
- wire L3sow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
- wire L45iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(372)
- wire L4lax6; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
- wire L4rhu6; // ../rtl/topmodule/cortexm0ds_logic.v(185)
- wire L54iu6; // ../rtl/topmodule/cortexm0ds_logic.v(359)
- wire L5lpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
- wire L6lax6; // ../rtl/topmodule/cortexm0ds_logic.v(1653)
- wire L6phu6; // ../rtl/topmodule/cortexm0ds_logic.v(159)
- wire L87ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(881)
- wire L88iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(413)
- wire L8kax6; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
- wire L8uow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1251)
- wire L8zax6; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
- wire L90iu6; // ../rtl/topmodule/cortexm0ds_logic.v(307)
- wire L96ju6; // ../rtl/topmodule/cortexm0ds_logic.v(868)
- wire L9bbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
- wire L9eiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(494)
- wire L9mow6; // ../rtl/topmodule/cortexm0ds_logic.v(1144)
- wire L9tow6; // ../rtl/topmodule/cortexm0ds_logic.v(1238)
- wire L9xax6; // ../rtl/topmodule/cortexm0ds_logic.v(1674)
- wire Lashu6; // ../rtl/topmodule/cortexm0ds_logic.v(200)
- wire Lbbax6; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
- wire Lbyhu6; // ../rtl/topmodule/cortexm0ds_logic.v(281)
- wire Lcqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1199)
- wire Ldiow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1092)
- wire Ldoiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(629)
- wire Ldphu6; // ../rtl/topmodule/cortexm0ds_logic.v(161)
- wire Ldvpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
- wire Le2qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
- wire Leohu6; // ../rtl/topmodule/cortexm0ds_logic.v(148)
- wire Lfgbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
- wire Lfgow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1066)
- wire Lg1bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
- wire Lg9bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
- wire Lgkax6; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
- wire Lgthu6; // ../rtl/topmodule/cortexm0ds_logic.v(216)
- wire Lhbbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
- wire Li2bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
- wire Li5iu6; // ../rtl/topmodule/cortexm0ds_logic.v(377)
- wire Li7ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
- wire Liabx6; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
- wire Ljbpw6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1482)
- wire Ljcax6; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
- wire Ljiiu6; // ../rtl/topmodule/cortexm0ds_logic.v(551)
- wire Ljqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1201)
- wire Lk9ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
- wire Llaow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(988)
- wire Llohu6; // ../rtl/topmodule/cortexm0ds_logic.v(151)
- wire Lm1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(325)
- wire Lm7ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(887)
- wire Lmkbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1717)
- wire Lmuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(231)
- wire Ln0bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
- wire Lnthu6; // ../rtl/topmodule/cortexm0ds_logic.v(218)
- wire Lokiu6; // ../rtl/topmodule/cortexm0ds_logic.v(580)
- wire Loshu6; // ../rtl/topmodule/cortexm0ds_logic.v(205)
- wire Losow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1230)
- wire Lp7ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
- wire Lprow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1217)
- wire Lqqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1204)
- wire Lr9bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
- wire Ls1ju6; // ../rtl/topmodule/cortexm0ds_logic.v(809)
- wire Ltmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(608)
- wire Lu0iu6; // ../rtl/topmodule/cortexm0ds_logic.v(315)
- wire Lv7ow6; // ../rtl/topmodule/cortexm0ds_logic.v(952)
- wire Lvzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(302)
- wire Lwjiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(569)
- wire Lx9ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
- wire Lxxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(276)
- wire Lycax6; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
- wire Lywpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
- wire Lzohu6; // ../rtl/topmodule/cortexm0ds_logic.v(156)
- wire M0eow6; // ../rtl/topmodule/cortexm0ds_logic.v(1034)
- wire M1jiu6; // ../rtl/topmodule/cortexm0ds_logic.v(558)
- wire M1xiu6; // ../rtl/topmodule/cortexm0ds_logic.v(745)
- wire M1yhu6; // ../rtl/topmodule/cortexm0ds_logic.v(277)
- wire M24iu6; // ../rtl/topmodule/cortexm0ds_logic.v(358)
- wire M2cow6; // ../rtl/topmodule/cortexm0ds_logic.v(1008)
- wire M2ebx6; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
- wire M2xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(264)
- wire M3phu6; // ../rtl/topmodule/cortexm0ds_logic.v(158)
- wire M4ebx6; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
- wire M4vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(238)
- wire M60iu6; // ../rtl/topmodule/cortexm0ds_logic.v(306)
- wire M6cax6; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
- wire M6eiu6; // ../rtl/topmodule/cortexm0ds_logic.v(493)
- wire M6fow6; // ../rtl/topmodule/cortexm0ds_logic.v(1049)
- wire M6kax6; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
- wire M6rpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
- wire M7zhu6; // ../rtl/topmodule/cortexm0ds_logic.v(293)
- wire M81qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
- wire M85bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
- wire M8fax6; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
- wire M8ipw6; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
- wire M94iu6; // ../rtl/topmodule/cortexm0ds_logic.v(360)
- wire Maphu6; // ../rtl/topmodule/cortexm0ds_logic.v(160)
- wire Mb1ju6; // ../rtl/topmodule/cortexm0ds_logic.v(802)
- wire Mb4bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
- wire Mbdax6; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
- wire Mbohu6; // ../rtl/topmodule/cortexm0ds_logic.v(147)
- wire Mbvhu6; // ../rtl/topmodule/cortexm0ds_logic.v(241)
- wire Mcuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(228)
- wire Md0iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(308)
- wire Mdfow6; // ../rtl/topmodule/cortexm0ds_logic.v(1052)
- wire Mdziu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(776)
- wire Mfjiu6; // ../rtl/topmodule/cortexm0ds_logic.v(563)
- wire Mfyax6; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
- wire Mg3ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(831)
- wire Mgeax6; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
- wire Mh1qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
- wire Mihow6; // ../rtl/topmodule/cortexm0ds_logic.v(1081)
- wire Miniu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(618)
- wire Miohu6; // ../rtl/topmodule/cortexm0ds_logic.v(150)
- wire Mivhu6; // ../rtl/topmodule/cortexm0ds_logic.v(243)
- wire Mj8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(418)
- wire Mjmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(605)
- wire Mjnow6; // ../rtl/topmodule/cortexm0ds_logic.v(1161)
- wire Mk3bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
- wire Mmjiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(566)
- wire Mmyhu6; // ../rtl/topmodule/cortexm0ds_logic.v(285)
- wire Mnbiu6; // ../rtl/topmodule/cortexm0ds_logic.v(459)
- wire Mnmpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1593)
- wire Mnqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1203)
- wire Mp0bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
- wire Mpgiu6; // ../rtl/topmodule/cortexm0ds_logic.v(527)
- wire Mpniu6; // ../rtl/topmodule/cortexm0ds_logic.v(620)
- wire Mpohu6; // ../rtl/topmodule/cortexm0ds_logic.v(152)
- wire Mrfow6; // ../rtl/topmodule/cortexm0ds_logic.v(1057)
- wire Mrthu6; // ../rtl/topmodule/cortexm0ds_logic.v(220)
- wire Ms5bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1689)
- wire Mt4ju6; // ../rtl/topmodule/cortexm0ds_logic.v(849)
- wire Mt6ow6; // ../rtl/topmodule/cortexm0ds_logic.v(938)
- wire Mtrow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1218)
- wire Mu3ju6; // ../rtl/topmodule/cortexm0ds_logic.v(836)
- wire Muhbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
- wire Mvkhu6; // ../rtl/topmodule/cortexm0ds_logic.v(138)
- wire Mxuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(236)
- wire My0iu6; // ../rtl/topmodule/cortexm0ds_logic.v(316)
- wire Myfow6; // ../rtl/topmodule/cortexm0ds_logic.v(1060)
- wire Mz1bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
- wire Mz6iu6; // ../rtl/topmodule/cortexm0ds_logic.v(397)
- wire Mzihu6; // ../rtl/topmodule/cortexm0ds_logic.v(132)
- wire Mzkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(584)
- wire Mzzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(303)
- wire N0cbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
- wire N0phu6; // ../rtl/topmodule/cortexm0ds_logic.v(156)
- wire N0xpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
- wire N19bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
- wire N1vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(237)
- wire N30iu6; // ../rtl/topmodule/cortexm0ds_logic.v(305)
- wire N39ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
- wire N3eax6; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
- wire N3epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
- wire N3fow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1048)
- wire N3hbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
- wire N3jbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
- wire N3ziu6; // ../rtl/topmodule/cortexm0ds_logic.v(773)
- wire N45ju6; // ../rtl/topmodule/cortexm0ds_logic.v(853)
- wire N4gax6; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
- wire N4kax6; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
- wire N5bbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
- wire N61qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
- wire N64iu6; // ../rtl/topmodule/cortexm0ds_logic.v(359)
- wire N6xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(266)
- wire N7phu6; // ../rtl/topmodule/cortexm0ds_logic.v(159)
- wire N7pow6; // ../rtl/topmodule/cortexm0ds_logic.v(1183)
- wire N8rpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
- wire N8vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(240)
- wire N98iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(414)
- wire N9gow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1064)
- wire N9now6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1157)
- wire N9uhu6; // ../rtl/topmodule/cortexm0ds_logic.v(227)
- wire Na0iu6; // ../rtl/topmodule/cortexm0ds_logic.v(307)
- wire Naaax6; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
- wire Nazax6; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
- wire Nbkiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(575)
- wire Nbxax6; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
- wire Ncjiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(562)
- wire Nckbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
- wire Ncyhu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(281)
- wire Nd3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
- wire Ne3iu6; // ../rtl/topmodule/cortexm0ds_logic.v(349)
- wire Nephu6; // ../rtl/topmodule/cortexm0ds_logic.v(162)
- wire Nfgax6; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
- wire Nfohu6; // ../rtl/topmodule/cortexm0ds_logic.v(149)
- wire Nfqpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
- wire Ng8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(416)
- wire Nhgbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
- wire Nhlhu6; // ../rtl/topmodule/cortexm0ds_logic.v(139)
- wire Nhmow6; // ../rtl/topmodule/cortexm0ds_logic.v(1147)
- wire Nhthu6; // ../rtl/topmodule/cortexm0ds_logic.v(216)
- wire Nhtow6; // ../rtl/topmodule/cortexm0ds_logic.v(1241)
- wire Nj2qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
- wire Nj5iu6; // ../rtl/topmodule/cortexm0ds_logic.v(377)
- wire Nk3ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(832)
- wire Nk4pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1389)
- wire Nkaju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(926)
- wire Nkwiu6; // ../rtl/topmodule/cortexm0ds_logic.v(739)
- wire Nlbbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
- wire Nlcbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
- wire Nmabx6; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
- wire Nmfax6; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
- wire Nmohu6; // ../rtl/topmodule/cortexm0ds_logic.v(151)
- wire Nn8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(419)
- wire Nnfbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
- wire Nntiu6; // ../rtl/topmodule/cortexm0ds_logic.v(700)
- wire Nnuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(232)
- wire No3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
- wire Nodax6; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
- wire Nothu6; // ../rtl/topmodule/cortexm0ds_logic.v(219)
- wire Npaax6; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
- wire Npghu6; // ../rtl/topmodule/cortexm0ds_logic.v(127)
- wire Nq4ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(848)
- wire Nq6ow6; // ../rtl/topmodule/cortexm0ds_logic.v(936)
- wire Nr0bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
- wire Nr4iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(367)
- wire Nr7ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
- wire Nrkpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
- wire Nrqpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
- wire Nrxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(273)
- wire Ns8ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
- wire Nsoiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(635)
- wire Nt9bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
- wire Ntuiu6; // ../rtl/topmodule/cortexm0ds_logic.v(715)
- wire Nu5bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1689)
- wire Nu9ow6; // ../rtl/topmodule/cortexm0ds_logic.v(978)
- wire Numiu6; // ../rtl/topmodule/cortexm0ds_logic.v(609)
- wire Nv3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
- wire Nv9bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
- wire Nwbbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
- wire Nwdbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
- wire Nwdpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1514)
- wire Nweow6; // ../rtl/topmodule/cortexm0ds_logic.v(1046)
- wire Nwzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(302)
- wire Nybbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
- wire Nycow6; // ../rtl/topmodule/cortexm0ds_logic.v(1020)
- wire Nyhpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
- wire Nyxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(276)
- wire Nz2ju6; // ../rtl/topmodule/cortexm0ds_logic.v(825)
- wire Nzapw6; // ../rtl/topmodule/cortexm0ds_logic.v(1475)
- wire O00iu6; // ../rtl/topmodule/cortexm0ds_logic.v(304)
- wire O16pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1409)
- wire O1mpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
- wire O2dow6; // ../rtl/topmodule/cortexm0ds_logic.v(1021)
- wire O2kax6; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
- wire O34iu6; // ../rtl/topmodule/cortexm0ds_logic.v(358)
- wire O3xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(265)
- wire O4phu6; // ../rtl/topmodule/cortexm0ds_logic.v(158)
- wire O59iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(426)
- wire O5vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(239)
- wire O70iu6; // ../rtl/topmodule/cortexm0ds_logic.v(306)
- wire Oa4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(361)
- wire Oa5bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
- wire Oarpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
- wire Obphu6; // ../rtl/topmodule/cortexm0ds_logic.v(161)
- wire Oc2iu6; // ../rtl/topmodule/cortexm0ds_logic.v(335)
- wire Ocniu6; // ../rtl/topmodule/cortexm0ds_logic.v(616)
- wire Ocohu6; // ../rtl/topmodule/cortexm0ds_logic.v(148)
- wire Od4bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
- wire Odfiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(509)
- wire Odgow6; // ../rtl/topmodule/cortexm0ds_logic.v(1065)
- wire Oduhu6; // ../rtl/topmodule/cortexm0ds_logic.v(228)
- wire Oe7iu6; // ../rtl/topmodule/cortexm0ds_logic.v(402)
- wire Oeziu6; // ../rtl/topmodule/cortexm0ds_logic.v(777)
- wire Ofmpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
- wire Ogdow6; // ../rtl/topmodule/cortexm0ds_logic.v(1026)
- wire Oh4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(363)
- wire Oh8ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
- wire Ohqhu6; // ../rtl/topmodule/cortexm0ds_logic.v(176)
- wire Ohyax6; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
- wire Oi1bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
- wire Oi9ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
- wire Oi9ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(912)
- wire Oikax6; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
- wire Ojebx6; // ../rtl/topmodule/cortexm0ds_logic.v(1706)
- wire Ojohu6; // ../rtl/topmodule/cortexm0ds_logic.v(150)
- wire Ok7ju6; // ../rtl/topmodule/cortexm0ds_logic.v(886)
- wire Ok8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(418)
- wire Okfax6; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
- wire Oltow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
- wire Om3bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
- wire Onciu6; // ../rtl/topmodule/cortexm0ds_logic.v(473)
- wire Opbax6; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
- wire Oqohu6; // ../rtl/topmodule/cortexm0ds_logic.v(153)
- wire Orkhu6; // ../rtl/topmodule/cortexm0ds_logic.v(137)
- wire Oruhu6; // ../rtl/topmodule/cortexm0ds_logic.v(233)
- wire Osthu6; // ../rtl/topmodule/cortexm0ds_logic.v(220)
- wire Ot0bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
- wire Ot7ow6; // ../rtl/topmodule/cortexm0ds_logic.v(951)
- wire Oulpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
- wire Ov3ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(837)
- wire Ov4pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1393)
- wire Oveax6; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
- wire Ovihu6; // ../rtl/topmodule/cortexm0ds_logic.v(132)
- wire Ovpiu6; // ../rtl/topmodule/cortexm0ds_logic.v(649)
- wire Owcax6; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
- wire Owhbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
- wire Owoiu6; // ../rtl/topmodule/cortexm0ds_logic.v(636)
- wire Owviu6; // ../rtl/topmodule/cortexm0ds_logic.v(730)
- wire Ox9bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
- wire Oxkpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
- wire Oxohu6; // ../rtl/topmodule/cortexm0ds_logic.v(155)
- wire Oy8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(423)
- wire Oyhbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
- wire Oz0iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(317)
- wire P0bax6; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
- wire P0biu6; // ../rtl/topmodule/cortexm0ds_logic.v(451)
- wire P0cow6; // ../rtl/topmodule/cortexm0ds_logic.v(1007)
- wire P0ibx6; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
- wire P0kax6; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
- wire P12bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
- wire P14qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1625)
- wire P1phu6; // ../rtl/topmodule/cortexm0ds_logic.v(157)
- wire P22iu6; // ../rtl/topmodule/cortexm0ds_logic.v(331)
- wire P23qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
- wire P2vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(237)
- wire P33bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
- wire P3tiu6; // ../rtl/topmodule/cortexm0ds_logic.v(692)
- wire P40iu6; // ../rtl/topmodule/cortexm0ds_logic.v(305)
- wire P40pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1329)
- wire P4cax6; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
- wire P4epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1517)
- wire P4liu6; // ../rtl/topmodule/cortexm0ds_logic.v(586)
- wire P5vpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
- wire P73ju6; // ../rtl/topmodule/cortexm0ds_logic.v(828)
- wire P74iu6; // ../rtl/topmodule/cortexm0ds_logic.v(360)
- wire P7xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(266)
- wire P8phu6; // ../rtl/topmodule/cortexm0ds_logic.v(159)
- wire P8viu6; // ../rtl/topmodule/cortexm0ds_logic.v(721)
- wire P91ju6; // ../rtl/topmodule/cortexm0ds_logic.v(802)
- wire P92iu6; // ../rtl/topmodule/cortexm0ds_logic.v(334)
- wire P93qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
- wire P9bax6; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
- wire P9niu6; // ../rtl/topmodule/cortexm0ds_logic.v(614)
- wire Panow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1158)
- wire Pauhu6; // ../rtl/topmodule/cortexm0ds_logic.v(227)
- wire Pb0iu6; // ../rtl/topmodule/cortexm0ds_logic.v(308)
- wire Pbbbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
- wire Pczax6; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
- wire Pdbbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
- wire Pdrhu6; // ../rtl/topmodule/cortexm0ds_logic.v(188)
- wire Pdxax6; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
- wire Pdyax6; // ../rtl/topmodule/cortexm0ds_logic.v(1676)
- wire Pe7ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
- wire Pe9bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
- wire Peeax6; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
- wire Pexpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1612)
- wire Pfphu6; // ../rtl/topmodule/cortexm0ds_logic.v(162)
- wire Pg3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
- wire Pgjbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
- wire Pgohu6; // ../rtl/topmodule/cortexm0ds_logic.v(149)
- wire Ph8iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(417)
- wire Ph9ow6; // ../rtl/topmodule/cortexm0ds_logic.v(973)
- wire Phcax6; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
- wire Pifax6; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
- wire Pithu6; // ../rtl/topmodule/cortexm0ds_logic.v(217)
- wire Piziu6; // ../rtl/topmodule/cortexm0ds_logic.v(778)
- wire Pjgbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
- wire Pjyiu6; // ../rtl/topmodule/cortexm0ds_logic.v(765)
- wire Pk4ju6; // ../rtl/topmodule/cortexm0ds_logic.v(846)
- wire Pkdow6; // ../rtl/topmodule/cortexm0ds_logic.v(1028)
- wire Pkkbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1717)
- wire Pl4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(365)
- wire Plcow6; // ../rtl/topmodule/cortexm0ds_logic.v(1015)
- wire Pmlpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
- wire Pmoiu6; // ../rtl/topmodule/cortexm0ds_logic.v(633)
- wire Pnohu6; // ../rtl/topmodule/cortexm0ds_logic.v(152)
- wire Pouhu6; // ../rtl/topmodule/cortexm0ds_logic.v(232)
- wire Pp7iu6; // ../rtl/topmodule/cortexm0ds_logic.v(406)
- wire Pqsow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1231)
- wire Pqzow6; // ../rtl/topmodule/cortexm0ds_logic.v(1324)
- wire Prdow6; // ../rtl/topmodule/cortexm0ds_logic.v(1030)
- wire Psxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(274)
- wire Pt2ju6; // ../rtl/topmodule/cortexm0ds_logic.v(822)
- wire Pt7ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
- wire Pthiu6; // ../rtl/topmodule/cortexm0ds_logic.v(542)
- wire Pu1ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(809)
- wire Puohu6; // ../rtl/topmodule/cortexm0ds_logic.v(154)
- wire Puwpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
- wire Pv0bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
- wire Pv9ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
- wire Pvtiu6; // ../rtl/topmodule/cortexm0ds_logic.v(703)
- wire Pxriu6; // ../rtl/topmodule/cortexm0ds_logic.v(677)
- wire Pxzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(302)
- wire Pyyhu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(289)
- wire Pz9bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
- wire Pzxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(276)
- wire Q07ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(879)
- wire Q0fiu6; // ../rtl/topmodule/cortexm0ds_logic.v(504)
- wire Q10iu6; // ../rtl/topmodule/cortexm0ds_logic.v(304)
- wire Q1epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
- wire Q1hbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
- wire Q2eow6; // ../rtl/topmodule/cortexm0ds_logic.v(1035)
- wire Q2gax6; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
- wire Q2ibx6; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
- wire Q34ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(840)
- wire Q3qiu6; // ../rtl/topmodule/cortexm0ds_logic.v(652)
- wire Q3yhu6; // ../rtl/topmodule/cortexm0ds_logic.v(278)
- wire Q44iu6; // ../rtl/topmodule/cortexm0ds_logic.v(359)
- wire Q4dbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
- wire Q4wiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(733)
- wire Q53pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1370)
- wire Q5hiu6; // ../rtl/topmodule/cortexm0ds_logic.v(533)
- wire Q5phu6; // ../rtl/topmodule/cortexm0ds_logic.v(158)
- wire Q6fax6; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
- wire Q7miu6; // ../rtl/topmodule/cortexm0ds_logic.v(600)
- wire Q80iu6; // ../rtl/topmodule/cortexm0ds_logic.v(307)
- wire Q89bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
- wire Q8aax6; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
- wire Q8eiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(494)
- wire Q8tow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1237)
- wire Q9dax6; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
- wire Q9zow6; // ../rtl/topmodule/cortexm0ds_logic.v(1318)
- wire Qa1qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
- wire Qa5iu6; // ../rtl/topmodule/cortexm0ds_logic.v(374)
- wire Qaihu6; // ../rtl/topmodule/cortexm0ds_logic.v(130)
- wire Qakbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
- wire Qaqiu6; // ../rtl/topmodule/cortexm0ds_logic.v(655)
- wire Qc3pw6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1373)
- wire Qc5bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1689)
- wire Qcaiu6; // ../rtl/topmodule/cortexm0ds_logic.v(442)
- wire Qcphu6; // ../rtl/topmodule/cortexm0ds_logic.v(161)
- wire Qdvhu6; // ../rtl/topmodule/cortexm0ds_logic.v(242)
- wire Qe8iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(416)
- wire Qehbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
- wire Qeuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(229)
- wire Qf4bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
- wire Qfthu6; // ../rtl/topmodule/cortexm0ds_logic.v(216)
- wire Qgkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(577)
- wire Qh5iu6; // ../rtl/topmodule/cortexm0ds_logic.v(377)
- wire Qipiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(645)
- wire Qiqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1201)
- wire Qj1qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
- wire Qj2ju6; // ../rtl/topmodule/cortexm0ds_logic.v(819)
- wire Qjbbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
- wire Qjcbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
- wire Qjyax6; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
- wire Qk9pw6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1456)
- wire Qkabx6; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
- wire Qkniu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(619)
- wire Qkohu6; // ../rtl/topmodule/cortexm0ds_logic.v(151)
- wire Ql8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(418)
- wire Qlfbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1707)
- wire Qmdax6; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
- wire Qmthu6; // ../rtl/topmodule/cortexm0ds_logic.v(218)
- wire Qn6pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1417)
- wire Qo3bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
- wire Qodow6; // ../rtl/topmodule/cortexm0ds_logic.v(1029)
- wire Qoyow6; // ../rtl/topmodule/cortexm0ds_logic.v(1310)
- wire Qq3iu6; // ../rtl/topmodule/cortexm0ds_logic.v(353)
- wire Qqiow6; // ../rtl/topmodule/cortexm0ds_logic.v(1097)
- wire Qrgiu6; // ../rtl/topmodule/cortexm0ds_logic.v(528)
- wire Qrihu6; // ../rtl/topmodule/cortexm0ds_logic.v(132)
- wire Qrohu6; // ../rtl/topmodule/cortexm0ds_logic.v(153)
- wire Qs0ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(795)
- wire Qsfax6; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
- wire Qsmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(608)
- wire Qsuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(234)
- wire Qt6ju6; // ../rtl/topmodule/cortexm0ds_logic.v(876)
- wire Qtfow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1058)
- wire Qudbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
- wire Queow6; // ../rtl/topmodule/cortexm0ds_logic.v(1045)
- wire Qufax6; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
- wire Qusow6; // ../rtl/topmodule/cortexm0ds_logic.v(1232)
- wire Qv4ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(850)
- wire Qwfax6; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
- wire Qwfbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
- wire Qwpiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(650)
- wire Qwxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(275)
- wire Qx0bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
- wire Qxbow6; // ../rtl/topmodule/cortexm0ds_logic.v(1006)
- wire Qxoiu6; // ../rtl/topmodule/cortexm0ds_logic.v(637)
- wire Qyjax6; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
- wire Qyniu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(624)
- wire Qynpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
- wire Qyohu6; // ../rtl/topmodule/cortexm0ds_logic.v(156)
- wire Qz0ju6; // ../rtl/topmodule/cortexm0ds_logic.v(798)
- wire Qzuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(236)
- wire R04ju6; // ../rtl/topmodule/cortexm0ds_logic.v(838)
- wire R05iu6; // ../rtl/topmodule/cortexm0ds_logic.v(370)
- wire R0ghu6; // ../rtl/topmodule/cortexm0ds_logic.v(125)
- wire R0yhu6; // ../rtl/topmodule/cortexm0ds_logic.v(277)
- wire R19ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
- wire R1abx6; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
- wire R1eax6; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
- wire R2phu6; // ../rtl/topmodule/cortexm0ds_logic.v(157)
- wire R3giu6; // ../rtl/topmodule/cortexm0ds_logic.v(519)
- wire R3how6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1075)
- wire R3vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(238)
- wire R3vpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
- wire R4miu6; // ../rtl/topmodule/cortexm0ds_logic.v(599)
- wire R50iu6; // ../rtl/topmodule/cortexm0ds_logic.v(305)
- wire R5liu6; // ../rtl/topmodule/cortexm0ds_logic.v(586)
- wire R6zhu6; // ../rtl/topmodule/cortexm0ds_logic.v(292)
- wire R7kpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
- wire R84iu6; // ../rtl/topmodule/cortexm0ds_logic.v(360)
- wire R8xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(266)
- wire R9mpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
- wire R9phu6; // ../rtl/topmodule/cortexm0ds_logic.v(160)
- wire R9wow6; // ../rtl/topmodule/cortexm0ds_logic.v(1278)
- wire R9yax6; // ../rtl/topmodule/cortexm0ds_logic.v(1676)
- wire Ra2qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
- wire Rbuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(227)
- wire Rcliu6; // ../rtl/topmodule/cortexm0ds_logic.v(589)
- wire Rcziu6; // ../rtl/topmodule/cortexm0ds_logic.v(776)
- wire Rerow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1213)
- wire Reyhu6; // ../rtl/topmodule/cortexm0ds_logic.v(282)
- wire Rezax6; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
- wire Rfxax6; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
- wire Rfxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(269)
- wire Rg9ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
- wire Rgoiu6; // ../rtl/topmodule/cortexm0ds_logic.v(630)
- wire Rh2iu6; // ../rtl/topmodule/cortexm0ds_logic.v(337)
- wire Rhgiu6; // ../rtl/topmodule/cortexm0ds_logic.v(524)
- wire Rhkpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
- wire Rhniu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(617)
- wire Rhohu6; // ../rtl/topmodule/cortexm0ds_logic.v(149)
- wire Rhvhu6; // ../rtl/topmodule/cortexm0ds_logic.v(243)
- wire Rijbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
- wire Rilpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
- wire Rimiu6; // ../rtl/topmodule/cortexm0ds_logic.v(604)
- wire Rjthu6; // ../rtl/topmodule/cortexm0ds_logic.v(217)
- wire Rjtow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1241)
- wire Rjziu6; // ../rtl/topmodule/cortexm0ds_logic.v(779)
- wire Rk1bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
- wire Rk5ju6; // ../rtl/topmodule/cortexm0ds_logic.v(859)
- wire Rkbax6; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
- wire Rkkax6; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
- wire Rkkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(578)
- wire Rksow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1228)
- wire Rlcpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1496)
- wire Rlgbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
- wire Rm2bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
- wire Rnaax6; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
- wire Rnbow6; // ../rtl/topmodule/cortexm0ds_logic.v(1002)
- wire Ro8ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
- wire Ro8ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(901)
- wire Roohu6; // ../rtl/topmodule/cortexm0ds_logic.v(152)
- wire Rpuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(233)
- wire Rq0qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1618)
- wire Rqthu6; // ../rtl/topmodule/cortexm0ds_logic.v(220)
- wire Rr3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
- wire Rs4ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(849)
- wire Rskax6; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
- wire Rteax6; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
- wire Rtxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(274)
- wire Ru2ju6; // ../rtl/topmodule/cortexm0ds_logic.v(823)
- wire Ru3pw6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1379)
- wire Rucax6; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
- wire Rv7ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
- wire Rvniu6; // ../rtl/topmodule/cortexm0ds_logic.v(623)
- wire Rw1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(329)
- wire Rw8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(422)
- wire Rwjax6; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
- wire Rwuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(235)
- wire Rx6ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(877)
- wire Ry2qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
- wire Ryfax6; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
- wire Ryzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(303)
- wire Rz0bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
- wire Rz8bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
- wire Rzciu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(477)
- wire S02iu6; // ../rtl/topmodule/cortexm0ds_logic.v(330)
- wire S0kbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
- wire S0lhu6; // ../rtl/topmodule/cortexm0ds_logic.v(138)
- wire S0vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(237)
- wire S11bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
- wire S18iu6; // ../rtl/topmodule/cortexm0ds_logic.v(411)
- wire S1fiu6; // ../rtl/topmodule/cortexm0ds_logic.v(505)
- wire S20iu6; // ../rtl/topmodule/cortexm0ds_logic.v(304)
- wire S2cax6; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
- wire S2cbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
- wire S2epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
- wire S2ziu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(772)
- wire S32bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
- wire S3mpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
- wire S3sow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
- wire S45pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1396)
- wire S4kbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
- wire S54iu6; // ../rtl/topmodule/cortexm0ds_logic.v(359)
- wire S5biu6; // ../rtl/topmodule/cortexm0ds_logic.v(453)
- wire S63iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(346)
- wire S6phu6; // ../rtl/topmodule/cortexm0ds_logic.v(159)
- wire S7mpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
- wire S7vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(239)
- wire S8uhu6; // ../rtl/topmodule/cortexm0ds_logic.v(226)
- wire S8uow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1251)
- wire S90iu6; // ../rtl/topmodule/cortexm0ds_logic.v(307)
- wire S98ow6; // ../rtl/topmodule/cortexm0ds_logic.v(957)
- wire SWCLKTCK_pad; // ../rtl/topmodule/cortexm0ds_logic.v(79)
- wire Saeow6; // ../rtl/topmodule/cortexm0ds_logic.v(1038)
- wire Sb8ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
- wire Sbfax6; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
- wire Sbrow6; // ../rtl/topmodule/cortexm0ds_logic.v(1212)
- wire Sbyhu6; // ../rtl/topmodule/cortexm0ds_logic.v(281)
- wire Scbiu6; // ../rtl/topmodule/cortexm0ds_logic.v(455)
- wire Sd8ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
- wire Sddbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
- wire Sdlpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
- wire Sdphu6; // ../rtl/topmodule/cortexm0ds_logic.v(161)
- wire Sejax6; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
- wire Seohu6; // ../rtl/topmodule/cortexm0ds_logic.v(148)
- wire Sf8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(416)
- wire Sg7iu6; // ../rtl/topmodule/cortexm0ds_logic.v(403)
- wire Sgjax6; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
- wire Sh4bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
- wire Shopw6; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
- wire Sijax6; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
- wire Sjqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1201)
- wire Skjax6; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
- wire Slohu6; // ../rtl/topmodule/cortexm0ds_logic.v(151)
- wire Slyax6; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
- wire Smjax6; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
- wire Smuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(232)
- wire Sn0pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1337)
- wire Sn4bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
- wire Snthu6; // ../rtl/topmodule/cortexm0ds_logic.v(219)
- wire Sojax6; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
- wire Sokiu6; // ../rtl/topmodule/cortexm0ds_logic.v(580)
- wire Spciu6; // ../rtl/topmodule/cortexm0ds_logic.v(473)
- wire Sq3bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
- wire Sq3ju6; // ../rtl/topmodule/cortexm0ds_logic.v(835)
- wire Sq4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(367)
- wire Sqfax6; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
- wire Sqjax6; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
- wire Sqkax6; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
- wire Sqqhu6; // ../rtl/topmodule/cortexm0ds_logic.v(180)
- wire Sqwpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
- wire Srbow6; // ../rtl/topmodule/cortexm0ds_logic.v(1004)
- wire Ss0qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1618)
- wire Ssjax6; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
- wire Ssohu6; // ../rtl/topmodule/cortexm0ds_logic.v(154)
- wire St1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(328)
- wire St1pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1352)
- wire Stkpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
- wire Stmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(609)
- wire Stuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(234)
- wire Su8ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
- wire Sujax6; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
- wire Svzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(302)
- wire Swjbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
- wire Sx3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
- wire Sxxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(276)
- wire Sy2ju6; // ../rtl/topmodule/cortexm0ds_logic.v(824)
- wire Syjbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
- wire Sz3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1625)
- wire Szohu6; // ../rtl/topmodule/cortexm0ds_logic.v(156)
- wire T05ju6; // ../rtl/topmodule/cortexm0ds_logic.v(852)
- wire T0ipw6; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
- wire T14ju6; // ../rtl/topmodule/cortexm0ds_logic.v(839)
- wire T1jiu6; // ../rtl/topmodule/cortexm0ds_logic.v(558)
- wire T1vpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
- wire T1yhu6; // ../rtl/topmodule/cortexm0ds_logic.v(277)
- wire T23ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(826)
- wire T24iu6; // ../rtl/topmodule/cortexm0ds_logic.v(358)
- wire T2dbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
- wire T2kbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
- wire T2xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(264)
- wire T33iu6; // ../rtl/topmodule/cortexm0ds_logic.v(345)
- wire T3abx6; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
- wire T3opw6; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
- wire T3phu6; // ../rtl/topmodule/cortexm0ds_logic.v(158)
- wire T41ju6; // ../rtl/topmodule/cortexm0ds_logic.v(800)
- wire T4aow6; // ../rtl/topmodule/cortexm0ds_logic.v(982)
- wire T4vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(238)
- wire T5mpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
- wire T5yax6; // ../rtl/topmodule/cortexm0ds_logic.v(1676)
- wire T6aax6; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
- wire T6kbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
- wire T75ju6; // ../rtl/topmodule/cortexm0ds_logic.v(854)
- wire T7bax6; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
- wire T82qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
- wire T8kbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
- wire T8row6; // ../rtl/topmodule/cortexm0ds_logic.v(1211)
- wire T8yhu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(280)
- wire T94iu6; // ../rtl/topmodule/cortexm0ds_logic.v(360)
- wire T9kpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
- wire T9qow6; // ../rtl/topmodule/cortexm0ds_logic.v(1198)
- wire Ta2ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(815)
- wire Tajax6; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
- wire Taphu6; // ../rtl/topmodule/cortexm0ds_logic.v(160)
- wire Tb3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
- wire Tbohu6; // ../rtl/topmodule/cortexm0ds_logic.v(147)
- wire Tbvhu6; // ../rtl/topmodule/cortexm0ds_logic.v(241)
- wire Tc7ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(883)
- wire Tc8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(415)
- wire Tc9bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
- wire Tceax6; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
- wire Tchbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
- wire Tcipw6; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
- wire Tcjax6; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
- wire Tcjbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
- wire Tcuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(228)
- wire Tezhu6; // ../rtl/topmodule/cortexm0ds_logic.v(295)
- wire Tfcax6; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
- wire Tgcow6; // ../rtl/topmodule/cortexm0ds_logic.v(1013)
- wire Tgkbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
- wire Tgzax6; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
- wire Thcbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
- wire Thiax6; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
- wire Thxax6; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
- wire Tikbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
- wire Tivhu6; // ../rtl/topmodule/cortexm0ds_logic.v(243)
- wire Tj1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(324)
- wire Tjfbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1707)
- wire Tjkpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
- wire Tkdax6; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
- wire Tkjbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
- wire Tktow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
- wire Tl4bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
- wire Tlebx6; // ../rtl/topmodule/cortexm0ds_logic.v(1706)
- wire Tmjbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
- wire Tmqiu6; // ../rtl/topmodule/cortexm0ds_logic.v(659)
- wire Tmrow6; // ../rtl/topmodule/cortexm0ds_logic.v(1216)
- wire Tngbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
- wire To2ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(821)
- wire Tokax6; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
- wire Tpohu6; // ../rtl/topmodule/cortexm0ds_logic.v(152)
- wire Tptpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1605)
- wire Tquhu6; // ../rtl/topmodule/cortexm0ds_logic.v(233)
- wire Trthu6; // ../rtl/topmodule/cortexm0ds_logic.v(220)
- wire Tsdbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
- wire Tt9ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
- wire Ttjiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(568)
- wire Tu3ju6; // ../rtl/topmodule/cortexm0ds_logic.v(836)
- wire Tu4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(368)
- wire Tucow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1018)
- wire Tujbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
- wire Tw2iu6; // ../rtl/topmodule/cortexm0ds_logic.v(342)
- wire Twohu6; // ../rtl/topmodule/cortexm0ds_logic.v(155)
- wire Tx8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(423)
- wire Ty0pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1341)
- wire Tyaax6; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
- wire Tyipw6; // ../rtl/topmodule/cortexm0ds_logic.v(1586)
- wire Tzdiu6; // ../rtl/topmodule/cortexm0ds_logic.v(490)
- wire Tzgbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
- wire Tzsow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1234)
- wire Tzzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(303)
- wire U03iu6; // ../rtl/topmodule/cortexm0ds_logic.v(344)
- wire U0phu6; // ../rtl/topmodule/cortexm0ds_logic.v(157)
- wire U19iu6; // ../rtl/topmodule/cortexm0ds_logic.v(424)
- wire U1kpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
- wire U1uiu6; // ../rtl/topmodule/cortexm0ds_logic.v(705)
- wire U1vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(237)
- wire U2fiu6; // ../rtl/topmodule/cortexm0ds_logic.v(505)
- wire U30iu6; // ../rtl/topmodule/cortexm0ds_logic.v(305)
- wire U31bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
- wire U37pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1423)
- wire U3epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
- wire U4fax6; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
- wire U5cpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1490)
- wire U5yhu6; // ../rtl/topmodule/cortexm0ds_logic.v(279)
- wire U64iu6; // ../rtl/topmodule/cortexm0ds_logic.v(359)
- wire U6piu6; // ../rtl/topmodule/cortexm0ds_logic.v(640)
- wire U6wiu6; // ../rtl/topmodule/cortexm0ds_logic.v(734)
- wire U6xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(266)
- wire U73iu6; // ../rtl/topmodule/cortexm0ds_logic.v(346)
- wire U7dax6; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
- wire U7phu6; // ../rtl/topmodule/cortexm0ds_logic.v(159)
- wire U8jax6; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
- wire U8uiu6; // ../rtl/topmodule/cortexm0ds_logic.v(708)
- wire U8vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(240)
- wire U98iu6; // ../rtl/topmodule/cortexm0ds_logic.v(414)
- wire U9gow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1064)
- wire U9now6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1158)
- wire U9uhu6; // ../rtl/topmodule/cortexm0ds_logic.v(227)
- wire U9ypw6; // ../rtl/topmodule/cortexm0ds_logic.v(1614)
- wire Ua0iu6; // ../rtl/topmodule/cortexm0ds_logic.v(307)
- wire Ua9bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
- wire Ubkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(575)
- wire Ubypw6; // ../rtl/topmodule/cortexm0ds_logic.v(1614)
- wire Uc4ju6; // ../rtl/topmodule/cortexm0ds_logic.v(843)
- wire Ud4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(362)
- wire Ue9ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
- wire Uephu6; // ../rtl/topmodule/cortexm0ds_logic.v(162)
- wire Ufbbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
- wire Ufebx6; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
- wire Ufkhu6; // ../rtl/topmodule/cortexm0ds_logic.v(136)
- wire Ufohu6; // ../rtl/topmodule/cortexm0ds_logic.v(149)
- wire Ufopw6; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
- wire Ufvhu6; // ../rtl/topmodule/cortexm0ds_logic.v(242)
- wire Ug8iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(417)
- wire Ugmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(604)
- wire Uh2qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
- wire Uhthu6; // ../rtl/topmodule/cortexm0ds_logic.v(216)
- wire Uilhu6; // ../rtl/topmodule/cortexm0ds_logic.v(139)
- wire Uizax6; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
- wire Uj4bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
- wire Uj4ju6; // ../rtl/topmodule/cortexm0ds_logic.v(846)
- wire Ujihu6; // ../rtl/topmodule/cortexm0ds_logic.v(131)
- wire Ujjiu6; // ../rtl/topmodule/cortexm0ds_logic.v(565)
- wire Ujspw6; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
- wire Ujxax6; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
- wire Uk3ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(833)
- wire Ukbpw6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1483)
- wire Ukcow6; // ../rtl/topmodule/cortexm0ds_logic.v(1015)
- wire Ulviu6; // ../rtl/topmodule/cortexm0ds_logic.v(726)
- wire Um1bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
- wire Umkax6; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
- wire Umniu6; // ../rtl/topmodule/cortexm0ds_logic.v(619)
- wire Umohu6; // ../rtl/topmodule/cortexm0ds_logic.v(151)
- wire Umuiu6; // ../rtl/topmodule/cortexm0ds_logic.v(713)
- wire Unyax6; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
- wire Uofax6; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
- wire Uojbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
- wire Uoliu6; // ../rtl/topmodule/cortexm0ds_logic.v(593)
- wire Uosiu6; // ../rtl/topmodule/cortexm0ds_logic.v(687)
- wire Uothu6; // ../rtl/topmodule/cortexm0ds_logic.v(219)
- wire Up4bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
- wire Uq5pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1405)
- wire Ur4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(367)
- wire Ureax6; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
- wire Urgbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
- wire Urxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(274)
- wire Us2ju6; // ../rtl/topmodule/cortexm0ds_logic.v(822)
- wire Us3bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
- wire Uscax6; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
- wire Usipw6; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
- wire Usjbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
- wire Usnpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
- wire Utohu6; // ../rtl/topmodule/cortexm0ds_logic.v(154)
- wire Utqpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
- wire Uu8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(422)
- wire Uunpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
- wire Uvliu6; // ../rtl/topmodule/cortexm0ds_logic.v(596)
- wire Uvsiu6; // ../rtl/topmodule/cortexm0ds_logic.v(690)
- wire Uw6pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1420)
- wire Uwdpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1514)
- wire Uwzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(302)
- wire Ux8bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
- wire Uy4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(370)
- wire Uyxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(276)
- wire Uzaiu6; // ../rtl/topmodule/cortexm0ds_logic.v(450)
- wire V00iu6; // ../rtl/topmodule/cortexm0ds_logic.v(304)
- wire V0cax6; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
- wire V0jpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1586)
- wire V16pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1409)
- wire V1sow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1221)
- wire V2kow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1115)
- wire V34iu6; // ../rtl/topmodule/cortexm0ds_logic.v(358)
- wire V3qhu6; // ../rtl/topmodule/cortexm0ds_logic.v(171)
- wire V3xow6; // ../rtl/topmodule/cortexm0ds_logic.v(1289)
- wire V4phu6; // ../rtl/topmodule/cortexm0ds_logic.v(158)
- wire V52bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
- wire V52iu6; // ../rtl/topmodule/cortexm0ds_logic.v(332)
- wire V53qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
- wire V5abx6; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
- wire V5oow6; // ../rtl/topmodule/cortexm0ds_logic.v(1169)
- wire V5vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(239)
- wire V6jax6; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
- wire V6now6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1156)
- wire V70iu6; // ../rtl/topmodule/cortexm0ds_logic.v(306)
- wire V73bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
- wire V7liu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(587)
- wire V8zhu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(293)
- wire Va7ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
- wire Vacow6; // ../rtl/topmodule/cortexm0ds_logic.v(1011)
- wire Vbphu6; // ../rtl/topmodule/cortexm0ds_logic.v(161)
- wire Vbspw6; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
- wire Vbwow6; // ../rtl/topmodule/cortexm0ds_logic.v(1279)
- wire Vc2pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1359)
- wire Vcohu6; // ../rtl/topmodule/cortexm0ds_logic.v(148)
- wire Vdmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(603)
- wire Vduhu6; // ../rtl/topmodule/cortexm0ds_logic.v(228)
- wire Ve7iu6; // ../rtl/topmodule/cortexm0ds_logic.v(402)
- wire Vefax6; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
- wire Veziu6; // ../rtl/topmodule/cortexm0ds_logic.v(777)
- wire Vf5ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(857)
- wire Vfsow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1227)
- wire Vgjpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
- wire Vhbpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1481)
- wire Vhcow6; // ../rtl/topmodule/cortexm0ds_logic.v(1013)
- wire Vhpiu6; // ../rtl/topmodule/cortexm0ds_logic.v(644)
- wire Vhspw6; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
- wire Vibax6; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
- wire Vihiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(538)
- wire Vj3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
- wire Vjniu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(618)
- wire Vjohu6; // ../rtl/topmodule/cortexm0ds_logic.v(150)
- wire Vk1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(324)
- wire Vk1pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1349)
- wire Vk8pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1442)
- wire Vkuow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1255)
- wire Vkzax6; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
- wire Vl0pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1336)
- wire Vlaax6; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
- wire Vlxax6; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
- wire Vmipw6; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
- wire Vn9bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
- wire Vnyhu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(285)
- wire Vo3ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(834)
- wire Voqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1203)
- wire Vowiu6; // ../rtl/topmodule/cortexm0ds_logic.v(740)
- wire Vp3iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(353)
- wire Vpgbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
- wire Vpkpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
- wire Vplpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
- wire Vpphu6; // ../rtl/topmodule/cortexm0ds_logic.v(166)
- wire Vq2iu6; // ../rtl/topmodule/cortexm0ds_logic.v(340)
- wire Vqgax6; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
- wire Vqjbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
- wire Vqohu6; // ../rtl/topmodule/cortexm0ds_logic.v(153)
- wire Vr1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(327)
- wire Vrmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(608)
- wire Vrtiu6; // ../rtl/topmodule/cortexm0ds_logic.v(701)
- wire Vrtpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1606)
- wire Vruhu6; // ../rtl/topmodule/cortexm0ds_logic.v(233)
- wire Vs0iu6; // ../rtl/topmodule/cortexm0ds_logic.v(314)
- wire Vsthu6; // ../rtl/topmodule/cortexm0ds_logic.v(220)
- wire Vtzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(301)
- wire Vuciu6; // ../rtl/topmodule/cortexm0ds_logic.v(475)
- wire Vviiu6; // ../rtl/topmodule/cortexm0ds_logic.v(556)
- wire Vvpiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(649)
- wire Vvxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(275)
- wire Vw3iu6; // ../rtl/topmodule/cortexm0ds_logic.v(356)
- wire Vx9iu6; // ../rtl/topmodule/cortexm0ds_logic.v(436)
- wire Vygax6; // ../rtl/topmodule/cortexm0ds_logic.v(1645)
- wire Vynow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1167)
- wire Vyuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(236)
- wire Vz8ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
- wire Vzdax6; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
- wire Vzjpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
- wire Vzupw6; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
- wire W0dbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
- wire W0jax6; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
- wire W0piu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(638)
- wire W1phu6; // ../rtl/topmodule/cortexm0ds_logic.v(157)
- wire W2jax6; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
- wire W2vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(238)
- wire W40iu6; // ../rtl/topmodule/cortexm0ds_logic.v(305)
- wire W48ow6; // ../rtl/topmodule/cortexm0ds_logic.v(955)
- wire W4aax6; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
- wire W4epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1517)
- wire W4jax6; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
- wire W4siu6; // ../rtl/topmodule/cortexm0ds_logic.v(680)
- wire W51bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
- wire W55ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(854)
- wire W5shu6; // ../rtl/topmodule/cortexm0ds_logic.v(199)
- wire W5ypw6; // ../rtl/topmodule/cortexm0ds_logic.v(1614)
- wire W6ipw6; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
- wire W6yhu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(279)
- wire W74iu6; // ../rtl/topmodule/cortexm0ds_logic.v(360)
- wire W7biu6; // ../rtl/topmodule/cortexm0ds_logic.v(453)
- wire W7cow6; // ../rtl/topmodule/cortexm0ds_logic.v(1010)
- wire W7iiu6; // ../rtl/topmodule/cortexm0ds_logic.v(547)
- wire W7xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(266)
- wire W8hbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
- wire W8phu6; // ../rtl/topmodule/cortexm0ds_logic.v(160)
- wire Wa0ju6; // ../rtl/topmodule/cortexm0ds_logic.v(789)
- wire Wa7ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(882)
- wire Wahbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
- wire Wamiu6; // ../rtl/topmodule/cortexm0ds_logic.v(602)
- wire Wanow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1158)
- wire Wauhu6; // ../rtl/topmodule/cortexm0ds_logic.v(227)
- wire Wb0iu6; // ../rtl/topmodule/cortexm0ds_logic.v(308)
- wire Wc2qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
- wire Wc5ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(856)
- wire Wdyow6; // ../rtl/topmodule/cortexm0ds_logic.v(1306)
- wire We3ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(830)
- wire Wfcbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
- wire Wfihu6; // ../rtl/topmodule/cortexm0ds_logic.v(131)
- wire Wfphu6; // ../rtl/topmodule/cortexm0ds_logic.v(162)
- wire Wfspw6; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
- wire Wfviu6; // ../rtl/topmodule/cortexm0ds_logic.v(724)
- wire Wfwow6; // ../rtl/topmodule/cortexm0ds_logic.v(1280)
- wire Wgipw6; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
- wire Wgohu6; // ../rtl/topmodule/cortexm0ds_logic.v(149)
- wire Wgvhu6; // ../rtl/topmodule/cortexm0ds_logic.v(243)
- wire Wh0ju6; // ../rtl/topmodule/cortexm0ds_logic.v(791)
- wire Widax6; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
- wire Withu6; // ../rtl/topmodule/cortexm0ds_logic.v(217)
- wire Wjshu6; // ../rtl/topmodule/cortexm0ds_logic.v(204)
- wire Wjyiu6; // ../rtl/topmodule/cortexm0ds_logic.v(765)
- wire Wkciu6; // ../rtl/topmodule/cortexm0ds_logic.v(472)
- wire Wkipw6; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
- wire Wlcow6; // ../rtl/topmodule/cortexm0ds_logic.v(1015)
- wire Wlspw6; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
- wire Wmviu6; // ../rtl/topmodule/cortexm0ds_logic.v(726)
- wire Wmzax6; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
- wire Wnohu6; // ../rtl/topmodule/cortexm0ds_logic.v(152)
- wire Wnxax6; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
- wire Wo1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(326)
- wire Wo1pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1350)
- wire Wofiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(513)
- wire Woiax6; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
- wire Womiu6; // ../rtl/topmodule/cortexm0ds_logic.v(607)
- wire Wouhu6; // ../rtl/topmodule/cortexm0ds_logic.v(232)
- wire Wp0pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1337)
- wire Wpyax6; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
- wire Wq8ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
- wire Wqdbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
- wire Wqzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(300)
- wire Wr4bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
- wire Wr4ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(849)
- wire Ws4iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(368)
- wire Wsxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(274)
- wire Wt3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
- wire Wtaiu6; // ../rtl/topmodule/cortexm0ds_logic.v(448)
- wire Wtviu6; // ../rtl/topmodule/cortexm0ds_logic.v(729)
- wire Wtxax6; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
- wire Wu3bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
- wire Wu9pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1460)
- wire Wvgax6; // ../rtl/topmodule/cortexm0ds_logic.v(1645)
- wire Wvuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(235)
- wire Ww6ju6; // ../rtl/topmodule/cortexm0ds_logic.v(877)
- wire Wwiax6; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
- wire Wwihu6; // ../rtl/topmodule/cortexm0ds_logic.v(132)
- wire Wwsiu6; // ../rtl/topmodule/cortexm0ds_logic.v(690)
- wire Wxgbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
- wire Wxjpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
- wire Wxzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(303)
- wire Wyiax6; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
- wire Wz4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(370)
- wire Wzpiu6; // ../rtl/topmodule/cortexm0ds_logic.v(651)
- wire Wzqhu6; // ../rtl/topmodule/cortexm0ds_logic.v(183)
- wire Wzxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(277)
- wire X10iu6; // ../rtl/topmodule/cortexm0ds_logic.v(304)
- wire X1epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
- wire X1fow6; // ../rtl/topmodule/cortexm0ds_logic.v(1048)
- wire X1liu6; // ../rtl/topmodule/cortexm0ds_logic.v(585)
- wire X3qiu6; // ../rtl/topmodule/cortexm0ds_logic.v(652)
- wire X42qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
- wire X44iu6; // ../rtl/topmodule/cortexm0ds_logic.v(359)
- wire X4xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(265)
- wire X53pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1370)
- wire X5bax6; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
- wire X5opw6; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
- wire X5phu6; // ../rtl/topmodule/cortexm0ds_logic.v(158)
- wire X5upw6; // ../rtl/topmodule/cortexm0ds_logic.v(1606)
- wire X6jpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1586)
- wire X6niu6; // ../rtl/topmodule/cortexm0ds_logic.v(613)
- wire X6vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(239)
- wire X7abx6; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
- wire X7miu6; // ../rtl/topmodule/cortexm0ds_logic.v(600)
- wire X7now6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1157)
- wire X7uow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1250)
- wire X7ypw6; // ../rtl/topmodule/cortexm0ds_logic.v(1614)
- wire X80iu6; // ../rtl/topmodule/cortexm0ds_logic.v(307)
- wire X87iu6; // ../rtl/topmodule/cortexm0ds_logic.v(400)
- wire X8ziu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(775)
- wire X9zhu6; // ../rtl/topmodule/cortexm0ds_logic.v(294)
- wire Xaeax6; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
- wire Xajbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
- wire Xb4pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1386)
- wire Xbcow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1011)
- wire Xbiiu6; // ../rtl/topmodule/cortexm0ds_logic.v(548)
- wire Xbxow6; // ../rtl/topmodule/cortexm0ds_logic.v(1292)
- wire Xc2ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(816)
- wire Xc9ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
- wire Xcphu6; // ../rtl/topmodule/cortexm0ds_logic.v(161)
- wire Xd2iu6; // ../rtl/topmodule/cortexm0ds_logic.v(335)
- wire Xdcax6; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
- wire Xdebx6; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
- wire Xdspw6; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
- wire Xeuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(229)
- wire Xf7pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1427)
- wire Xf8ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
- wire Xfliu6; // ../rtl/topmodule/cortexm0ds_logic.v(590)
- wire Xfmow6; // ../rtl/topmodule/cortexm0ds_logic.v(1146)
- wire Xi4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(364)
- wire Xiaju6; // ../rtl/topmodule/cortexm0ds_logic.v(925)
- wire Xiipw6; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
- wire Xkohu6; // ../rtl/topmodule/cortexm0ds_logic.v(151)
- wire Xl1iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(325)
- wire Xmmow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1149)
- wire Xmthu6; // ../rtl/topmodule/cortexm0ds_logic.v(218)
- wire Xn7ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
- wire Xnbax6; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
- wire Xneow6; // ../rtl/topmodule/cortexm0ds_logic.v(1042)
- wire Xo1bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
- wire Xozax6; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
- wire Xpeax6; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
- wire Xpqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1204)
- wire Xpxax6; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
- wire Xq2bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
- wire Xqcax6; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
- wire Xr9ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
- wire Xrgiu6; // ../rtl/topmodule/cortexm0ds_logic.v(528)
- wire Xrohu6; // ../rtl/topmodule/cortexm0ds_logic.v(153)
- wire Xrxax6; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
- wire Xs1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(327)
- wire Xsmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(608)
- wire Xsuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(234)
- wire Xttow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1245)
- wire Xu2qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
- wire Xuiax6; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
- wire Xuyiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(769)
- wire Xuzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(301)
- wire Xv6ow6; // ../rtl/topmodule/cortexm0ds_logic.v(938)
- wire Xv8bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
- wire Xvqpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
- wire Xvrow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1219)
- wire Xwaax6; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
- wire Xwxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(275)
- wire Xx6bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1691)
- wire Xxqpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
- wire Xxupw6; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
- wire Xyohu6; // ../rtl/topmodule/cortexm0ds_logic.v(156)
- wire Xyuiu6; // ../rtl/topmodule/cortexm0ds_logic.v(717)
- wire Xznow6; // ../rtl/topmodule/cortexm0ds_logic.v(1167)
- wire Xzuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(236)
- wire Y0gbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
- wire Y0jiu6; // ../rtl/topmodule/cortexm0ds_logic.v(558)
- wire Y0yhu6; // ../rtl/topmodule/cortexm0ds_logic.v(277)
- wire Y1qow6; // ../rtl/topmodule/cortexm0ds_logic.v(1195)
- wire Y1xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(264)
- wire Y23pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1369)
- wire Y2fax6; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
- wire Y2phu6; // ../rtl/topmodule/cortexm0ds_logic.v(157)
- wire Y3niu6; // ../rtl/topmodule/cortexm0ds_logic.v(612)
- wire Y3vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(238)
- wire Y40ju6; // ../rtl/topmodule/cortexm0ds_logic.v(787)
- wire Y47ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(880)
- wire Y48iu6; // ../rtl/topmodule/cortexm0ds_logic.v(412)
- wire Y4miu6; // ../rtl/topmodule/cortexm0ds_logic.v(599)
- wire Y50iu6; // ../rtl/topmodule/cortexm0ds_logic.v(306)
- wire Y5dax6; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
- wire Y5eiu6; // ../rtl/topmodule/cortexm0ds_logic.v(493)
- wire Y5lhu6; // ../rtl/topmodule/cortexm0ds_logic.v(138)
- wire Y5liu6; // ../rtl/topmodule/cortexm0ds_logic.v(586)
- wire Y72bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
- wire Y7cpw6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1491)
- wire Y7jiu6; // ../rtl/topmodule/cortexm0ds_logic.v(560)
- wire Y7opw6; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
- wire Y84iu6; // ../rtl/topmodule/cortexm0ds_logic.v(360)
- wire Y8lpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
- wire Y8xhu6; // ../rtl/topmodule/cortexm0ds_logic.v(267)
- wire Y9iow6; // ../rtl/topmodule/cortexm0ds_logic.v(1091)
- wire Y9phu6; // ../rtl/topmodule/cortexm0ds_logic.v(160)
- wire Ya1ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(802)
- wire Yaohu6; // ../rtl/topmodule/cortexm0ds_logic.v(147)
- wire Yavhu6; // ../rtl/topmodule/cortexm0ds_logic.v(241)
- wire Yavow6; // ../rtl/topmodule/cortexm0ds_logic.v(1265)
- wire Yb8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(415)
- wire Ybihu6; // ../rtl/topmodule/cortexm0ds_logic.v(131)
- wire Ybuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(228)
- wire Yc7iu6; // ../rtl/topmodule/cortexm0ds_logic.v(402)
- wire Ycliu6; // ../rtl/topmodule/cortexm0ds_logic.v(589)
- wire Ydeow6; // ../rtl/topmodule/cortexm0ds_logic.v(1039)
- wire Ydgax6; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
- wire Ydkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(576)
- wire Ydopw6; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
- wire Yecpw6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1494)
- wire Yf1qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
- wire Yf3ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(831)
- wire Yfcow6; // ../rtl/topmodule/cortexm0ds_logic.v(1013)
- wire Yfqow6; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
- wire Yfxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(269)
- wire Yg3iu6; // ../rtl/topmodule/cortexm0ds_logic.v(350)
- wire Yh8ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(898)
- wire Yhvhu6; // ../rtl/topmodule/cortexm0ds_logic.v(243)
- wire Yi1iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(324)
- wire Yi7ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(885)
- wire Yi8iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(417)
- wire Yjaax6; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
- wire Yjliu6; // ../rtl/topmodule/cortexm0ds_logic.v(592)
- wire Yjtow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
- wire Yjupw6; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
- wire Ykkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(579)
- wire Yklpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
- wire Yl6ow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(935)
- wire Yljiu6; // ../rtl/topmodule/cortexm0ds_logic.v(566)
- wire Ym3qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
- wire Ym4iu6; // ../rtl/topmodule/cortexm0ds_logic.v(365)
- wire Ymwiu6; // ../rtl/topmodule/cortexm0ds_logic.v(740)
- wire Ymwpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
- wire Yn3iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(352)
- wire Yo1ju6; // ../rtl/topmodule/cortexm0ds_logic.v(807)
- wire Yogax6; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
- wire Yokhu6; // ../rtl/topmodule/cortexm0ds_logic.v(137)
- wire Yoniu6; // ../rtl/topmodule/cortexm0ds_logic.v(620)
- wire Yp8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(420)
- wire Ypuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(233)
- wire Yqzax6; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
- wire Yryax6; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
- wire Ys4ju6; // ../rtl/topmodule/cortexm0ds_logic.v(849)
- wire Ysiax6; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
- wire Ysyow6; // ../rtl/topmodule/cortexm0ds_logic.v(1312)
- wire Yt3ju6; // ../rtl/topmodule/cortexm0ds_logic.v(836)
- wire Yt4bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
- wire Yubbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
- wire Yvabx6; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
- wire Yvgiu6; // ../rtl/topmodule/cortexm0ds_logic.v(529)
- wire Yvjpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
- wire Yw1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(329)
- wire Yw3bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
- wire Ywuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(235)
- wire Yxdax6; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
- wire Yxrpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1602)
- wire Yybax6; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
- wire Yyzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(303)
- wire Yz5pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1408)
- wire Yzlpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
- wire Yzqiu6; // ../rtl/topmodule/cortexm0ds_logic.v(664)
- wire Yzqpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
- wire Yzspw6; // ../rtl/topmodule/cortexm0ds_logic.v(1604)
- wire Z08ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(892)
- wire Z0niu6; // ../rtl/topmodule/cortexm0ds_logic.v(611)
- wire Z0vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(237)
- wire Z1miu6; // ../rtl/topmodule/cortexm0ds_logic.v(598)
- wire Z20iu6; // ../rtl/topmodule/cortexm0ds_logic.v(304)
- wire Z2aax6; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
- wire Z2epw6; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
- wire Z3sow6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
- wire Z47ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
- wire Z4jiu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(559)
- wire Z54iu6; // ../rtl/topmodule/cortexm0ds_logic.v(359)
- wire Z5aju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(921)
- wire Z63iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(346)
- wire Z67ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
- wire Z6phu6; // ../rtl/topmodule/cortexm0ds_logic.v(159)
- wire Z6viu6; // ../rtl/topmodule/cortexm0ds_logic.v(720)
- wire Z71bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
- wire Z71ju6; // ../rtl/topmodule/cortexm0ds_logic.v(801)
- wire Z73qw6; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
- wire Z79pw6; // ../rtl/topmodule/cortexm0ds_logic.v(1451)
- wire Z7vhu6; // ../rtl/topmodule/cortexm0ds_logic.v(239)
- wire Z8jpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1586)
- wire Z8uhu6; // ../rtl/topmodule/cortexm0ds_logic.v(226)
- wire Z90iu6; // ../rtl/topmodule/cortexm0ds_logic.v(307)
- wire Z9abx6; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
- wire Z9opw6; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
- wire Zbjiu6; // ../rtl/topmodule/cortexm0ds_logic.v(562)
- wire Zbyhu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(281)
- wire Zcqhu6; // ../rtl/topmodule/cortexm0ds_logic.v(174)
- wire Zdcbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
- wire Zdiax6; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
- wire Zdphu6; // ../rtl/topmodule/cortexm0ds_logic.v(161)
- wire Zdtpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1605)
- wire Zelhu6; // ../rtl/topmodule/cortexm0ds_logic.v(139)
- wire Zeohu6; // ../rtl/topmodule/cortexm0ds_logic.v(148)
- wire Zf7ju6; // ../rtl/topmodule/cortexm0ds_logic.v(884)
- wire Zf8iu6; // ../rtl/topmodule/cortexm0ds_logic.v(416)
- wire Zfmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(603)
- wire Zgbax6; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
- wire Zgfax6; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
- wire Zgthu6; // ../rtl/topmodule/cortexm0ds_logic.v(216)
- wire Zgziu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(778)
- wire Zicpw6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(1495)
- wire Zkphu6; // ../rtl/topmodule/cortexm0ds_logic.v(164)
- wire Zl9bx6; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
- wire Zl9iu6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(432)
- wire Zlohu6; // ../rtl/topmodule/cortexm0ds_logic.v(151)
- wire Zm8ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
- wire Zmuhu6; // ../rtl/topmodule/cortexm0ds_logic.v(232)
- wire Znthu6; // ../rtl/topmodule/cortexm0ds_logic.v(219)
- wire Zodbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
- wire Zokiu6; // ../rtl/topmodule/cortexm0ds_logic.v(580)
- wire Zp6ow6; // ../rtl/topmodule/cortexm0ds_logic.v(936)
- wire Zqiax6; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
- wire Zqxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(273)
- wire Zrwow6; // ../rtl/topmodule/cortexm0ds_logic.v(1285)
- wire Zslpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
- wire Zsohu6; // ../rtl/topmodule/cortexm0ds_logic.v(154)
- wire Zszax6; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
- wire Zt1iu6; // ../rtl/topmodule/cortexm0ds_logic.v(328)
- wire Ztgbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
- wire Ztmiu6; // ../rtl/topmodule/cortexm0ds_logic.v(609)
- wire Ztupw6; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
- wire Zuliu6; // ../rtl/topmodule/cortexm0ds_logic.v(596)
- wire Zv5ju6_lutinv; // ../rtl/topmodule/cortexm0ds_logic.v(863)
- wire Zvgbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
- wire Zvkiu6; // ../rtl/topmodule/cortexm0ds_logic.v(583)
- wire Zvzhu6; // ../rtl/topmodule/cortexm0ds_logic.v(302)
- wire Zwnpw6; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
- wire Zx8ax6; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
- wire Zxxhu6; // ../rtl/topmodule/cortexm0ds_logic.v(276)
- wire Zxxow6; // ../rtl/topmodule/cortexm0ds_logic.v(1300)
- wire Zycbx6; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
- wire Zzohu6; // ../rtl/topmodule/cortexm0ds_logic.v(156)
- wire _al_u1003_o;
- wire _al_u1018_o;
- wire _al_u1021_o;
- wire _al_u1030_o;
- wire _al_u1034_o;
- wire _al_u1036_o;
- wire _al_u1040_o;
- wire _al_u1042_o;
- wire _al_u1043_o;
- wire _al_u1045_o;
- wire _al_u1047_o;
- wire _al_u1050_o;
- wire _al_u1052_o;
- wire _al_u1054_o;
- wire _al_u1055_o;
- wire _al_u1056_o;
- wire _al_u1059_o;
- wire _al_u1060_o;
- wire _al_u1061_o;
- wire _al_u1062_o;
- wire _al_u1063_o;
- wire _al_u1064_o;
- wire _al_u1065_o;
- wire _al_u1067_o;
- wire _al_u1068_o;
- wire _al_u1069_o;
- wire _al_u106_o;
- wire _al_u1070_o;
- wire _al_u1071_o;
- wire _al_u1072_o;
- wire _al_u1073_o;
- wire _al_u1075_o;
- wire _al_u1076_o;
- wire _al_u1077_o;
- wire _al_u1078_o;
- wire _al_u1080_o;
- wire _al_u1081_o;
- wire _al_u1083_o;
- wire _al_u1084_o;
- wire _al_u1085_o;
- wire _al_u1086_o;
- wire _al_u1087_o;
- wire _al_u1089_o;
- wire _al_u1091_o;
- wire _al_u1092_o;
- wire _al_u1094_o;
- wire _al_u1095_o;
- wire _al_u1096_o;
- wire _al_u1097_o;
- wire _al_u1098_o;
- wire _al_u1099_o;
- wire _al_u1100_o;
- wire _al_u1101_o;
- wire _al_u1103_o;
- wire _al_u1110_o;
- wire _al_u1114_o;
- wire _al_u1115_o;
- wire _al_u1118_o;
- wire _al_u1119_o;
- wire _al_u1121_o;
- wire _al_u1122_o;
- wire _al_u1126_o;
- wire _al_u1127_o;
- wire _al_u1130_o;
- wire _al_u1133_o;
- wire _al_u1135_o;
- wire _al_u1137_o;
- wire _al_u1138_o;
- wire _al_u1141_o;
- wire _al_u1142_o;
- wire _al_u1143_o;
- wire _al_u1145_o;
- wire _al_u1146_o;
- wire _al_u1148_o;
- wire _al_u1150_o;
- wire _al_u1153_o;
- wire _al_u1154_o;
- wire _al_u1155_o;
- wire _al_u1157_o;
- wire _al_u1158_o;
- wire _al_u1159_o;
- wire _al_u1160_o;
- wire _al_u1161_o;
- wire _al_u1162_o;
- wire _al_u1164_o;
- wire _al_u1165_o;
- wire _al_u1166_o;
- wire _al_u1168_o;
- wire _al_u1170_o;
- wire _al_u1171_o;
- wire _al_u1172_o;
- wire _al_u1174_o;
- wire _al_u1176_o;
- wire _al_u1177_o;
- wire _al_u1178_o;
- wire _al_u1179_o;
- wire _al_u1180_o;
- wire _al_u1181_o;
- wire _al_u1183_o;
- wire _al_u1184_o;
- wire _al_u1185_o;
- wire _al_u1187_o;
- wire _al_u1190_o;
- wire _al_u1191_o;
- wire _al_u1192_o;
- wire _al_u1193_o;
- wire _al_u1194_o;
- wire _al_u1195_o;
- wire _al_u1197_o;
- wire _al_u1198_o;
- wire _al_u1199_o;
- wire _al_u1200_o;
- wire _al_u1202_o;
- wire _al_u1203_o;
- wire _al_u1204_o;
- wire _al_u1205_o;
- wire _al_u1206_o;
- wire _al_u1211_o;
- wire _al_u1214_o;
- wire _al_u1215_o;
- wire _al_u1216_o;
- wire _al_u1217_o;
- wire _al_u1218_o;
- wire _al_u121_o;
- wire _al_u1221_o;
- wire _al_u1222_o;
- wire _al_u1223_o;
- wire _al_u1225_o;
- wire _al_u1226_o;
- wire _al_u1227_o;
- wire _al_u1228_o;
- wire _al_u1230_o;
- wire _al_u1231_o;
- wire _al_u1232_o;
- wire _al_u1235_o;
- wire _al_u1236_o;
- wire _al_u1237_o;
- wire _al_u1238_o;
- wire _al_u1239_o;
- wire _al_u1240_o;
- wire _al_u1241_o;
- wire _al_u1242_o;
- wire _al_u1244_o;
- wire _al_u1245_o;
- wire _al_u1246_o;
- wire _al_u1247_o;
- wire _al_u1248_o;
- wire _al_u1249_o;
- wire _al_u1253_o;
- wire _al_u1254_o;
- wire _al_u1255_o;
- wire _al_u1256_o;
- wire _al_u1257_o;
- wire _al_u1258_o;
- wire _al_u1260_o;
- wire _al_u1261_o;
- wire _al_u1262_o;
- wire _al_u1263_o;
- wire _al_u1264_o;
- wire _al_u1265_o;
- wire _al_u1266_o;
- wire _al_u1268_o;
- wire _al_u1269_o;
- wire _al_u126_o;
- wire _al_u1270_o;
- wire _al_u1271_o;
- wire _al_u1273_o;
- wire _al_u1274_o;
- wire _al_u1276_o;
- wire _al_u1277_o;
- wire _al_u1278_o;
- wire _al_u1279_o;
- wire _al_u1280_o;
- wire _al_u1281_o;
- wire _al_u1283_o;
- wire _al_u1284_o;
- wire _al_u1286_o;
- wire _al_u1287_o;
- wire _al_u1288_o;
- wire _al_u1289_o;
- wire _al_u128_o;
- wire _al_u1292_o;
- wire _al_u1293_o;
- wire _al_u1294_o;
- wire _al_u1295_o;
- wire _al_u1297_o;
- wire _al_u1301_o;
- wire _al_u1303_o;
- wire _al_u1305_o;
- wire _al_u1306_o;
- wire _al_u1309_o;
- wire _al_u130_o;
- wire _al_u1310_o;
- wire _al_u1311_o;
- wire _al_u1313_o;
- wire _al_u1314_o;
- wire _al_u1315_o;
- wire _al_u1318_o;
- wire _al_u1319_o;
- wire _al_u1320_o;
- wire _al_u1321_o;
- wire _al_u1324_o;
- wire _al_u1325_o;
- wire _al_u1326_o;
- wire _al_u1327_o;
- wire _al_u1328_o;
- wire _al_u1331_o;
- wire _al_u1332_o;
- wire _al_u1333_o;
- wire _al_u1334_o;
- wire _al_u1336_o;
- wire _al_u1337_o;
- wire _al_u1339_o;
- wire _al_u133_o;
- wire _al_u1340_o;
- wire _al_u1341_o;
- wire _al_u1343_o;
- wire _al_u1346_o;
- wire _al_u1347_o;
- wire _al_u1348_o;
- wire _al_u1349_o;
- wire _al_u134_o;
- wire _al_u1350_o;
- wire _al_u1351_o;
- wire _al_u1352_o;
- wire _al_u1353_o;
- wire _al_u1355_o;
- wire _al_u1356_o;
- wire _al_u1357_o;
- wire _al_u1358_o;
- wire _al_u1359_o;
- wire _al_u1360_o;
- wire _al_u1362_o;
- wire _al_u1364_o;
- wire _al_u1366_o;
- wire _al_u1367_o;
- wire _al_u1368_o;
- wire _al_u1369_o;
- wire _al_u1370_o;
- wire _al_u1373_o;
- wire _al_u1374_o;
- wire _al_u1375_o;
- wire _al_u1376_o;
- wire _al_u1379_o;
- wire _al_u1380_o;
- wire _al_u1381_o;
- wire _al_u1382_o;
- wire _al_u1383_o;
- wire _al_u1384_o;
- wire _al_u1386_o;
- wire _al_u1387_o;
- wire _al_u1388_o;
- wire _al_u1389_o;
- wire _al_u1390_o;
- wire _al_u1391_o;
- wire _al_u1392_o;
- wire _al_u1394_o;
- wire _al_u1395_o;
- wire _al_u1396_o;
- wire _al_u1397_o;
- wire _al_u1398_o;
- wire _al_u1402_o;
- wire _al_u1403_o;
- wire _al_u1404_o;
- wire _al_u1405_o;
- wire _al_u1406_o;
- wire _al_u1408_o;
- wire _al_u1409_o;
- wire _al_u1410_o;
- wire _al_u1411_o;
- wire _al_u1412_o;
- wire _al_u1413_o;
- wire _al_u1414_o;
- wire _al_u1416_o;
- wire _al_u1417_o;
- wire _al_u1419_o;
- wire _al_u141_o;
- wire _al_u1420_o;
- wire _al_u1422_o;
- wire _al_u1423_o;
- wire _al_u1424_o;
- wire _al_u1425_o;
- wire _al_u1427_o;
- wire _al_u1428_o;
- wire _al_u142_o;
- wire _al_u1430_o;
- wire _al_u1432_o;
- wire _al_u1433_o;
- wire _al_u1435_o;
- wire _al_u1437_o;
- wire _al_u1438_o;
- wire _al_u1439_o;
- wire _al_u1440_o;
- wire _al_u1441_o;
- wire _al_u1442_o;
- wire _al_u1444_o;
- wire _al_u1445_o;
- wire _al_u1446_o;
- wire _al_u1447_o;
- wire _al_u1449_o;
- wire _al_u144_o;
- wire _al_u1451_o;
- wire _al_u1452_o;
- wire _al_u145_o;
- wire _al_u1463_o;
- wire _al_u1464_o;
- wire _al_u1465_o;
- wire _al_u1467_o;
- wire _al_u1468_o;
- wire _al_u1470_o;
- wire _al_u1472_o;
- wire _al_u1473_o;
- wire _al_u1474_o;
- wire _al_u1475_o;
- wire _al_u1476_o;
- wire _al_u1479_o;
- wire _al_u1480_o;
- wire _al_u1481_o;
- wire _al_u1482_o;
- wire _al_u1483_o;
- wire _al_u1486_o;
- wire _al_u1487_o;
- wire _al_u1489_o;
- wire _al_u148_o;
- wire _al_u1493_o;
- wire _al_u1495_o;
- wire _al_u1496_o;
- wire _al_u1497_o;
- wire _al_u1498_o;
- wire _al_u1499_o;
- wire _al_u1500_o;
- wire _al_u1502_o;
- wire _al_u1503_o;
- wire _al_u1504_o;
- wire _al_u1505_o;
- wire _al_u1507_o;
- wire _al_u1509_o;
- wire _al_u1511_o;
- wire _al_u1512_o;
- wire _al_u1514_o;
- wire _al_u1517_o;
- wire _al_u1520_o;
- wire _al_u1522_o;
- wire _al_u1524_o;
- wire _al_u1526_o;
- wire _al_u1528_o;
- wire _al_u1530_o;
- wire _al_u1532_o;
- wire _al_u1534_o;
- wire _al_u1536_o;
- wire _al_u1538_o;
- wire _al_u153_o;
- wire _al_u1540_o;
- wire _al_u1542_o;
- wire _al_u1544_o;
- wire _al_u1546_o;
- wire _al_u1548_o;
- wire _al_u154_o;
- wire _al_u1550_o;
- wire _al_u1552_o;
- wire _al_u1554_o;
- wire _al_u1556_o;
- wire _al_u1558_o;
- wire _al_u155_o;
- wire _al_u1560_o;
- wire _al_u1562_o;
- wire _al_u1564_o;
- wire _al_u1566_o;
- wire _al_u1567_o;
- wire _al_u156_o;
- wire _al_u1572_o;
- wire _al_u1573_o;
- wire _al_u1574_o;
- wire _al_u1575_o;
- wire _al_u1578_o;
- wire _al_u1579_o;
- wire _al_u157_o;
- wire _al_u1580_o;
- wire _al_u1582_o;
- wire _al_u1584_o;
- wire _al_u1585_o;
- wire _al_u1586_o;
- wire _al_u158_o;
- wire _al_u1590_o;
- wire _al_u1592_o;
- wire _al_u1595_o;
- wire _al_u1598_o;
- wire _al_u159_o;
- wire _al_u1600_o;
- wire _al_u1601_o;
- wire _al_u1603_o;
- wire _al_u1604_o;
- wire _al_u1605_o;
- wire _al_u1609_o;
- wire _al_u1610_o;
- wire _al_u1611_o;
- wire _al_u1612_o;
- wire _al_u1613_o;
- wire _al_u1614_o;
- wire _al_u1615_o;
- wire _al_u1616_o;
- wire _al_u1617_o;
- wire _al_u1618_o;
- wire _al_u1620_o;
- wire _al_u1622_o;
- wire _al_u1623_o;
- wire _al_u1625_o;
- wire _al_u1626_o;
- wire _al_u1628_o;
- wire _al_u1630_o;
- wire _al_u1632_o;
- wire _al_u1634_o;
- wire _al_u1636_o;
- wire _al_u1637_o;
- wire _al_u1639_o;
- wire _al_u1641_o;
- wire _al_u1643_o;
- wire _al_u1645_o;
- wire _al_u1647_o;
- wire _al_u1649_o;
- wire _al_u1651_o;
- wire _al_u1653_o;
- wire _al_u1655_o;
- wire _al_u1659_o;
- wire _al_u1660_o;
- wire _al_u1662_o;
- wire _al_u1663_o;
- wire _al_u1666_o;
- wire _al_u1667_o;
- wire _al_u1668_o;
- wire _al_u1669_o;
- wire _al_u1672_o;
- wire _al_u1673_o;
- wire _al_u1675_o;
- wire _al_u1676_o;
- wire _al_u1677_o;
- wire _al_u1679_o;
- wire _al_u1681_o;
- wire _al_u1682_o;
- wire _al_u1683_o;
- wire _al_u1684_o;
- wire _al_u1685_o;
- wire _al_u1688_o;
- wire _al_u1689_o;
- wire _al_u1691_o;
- wire _al_u1693_o;
- wire _al_u1694_o;
- wire _al_u1696_o;
- wire _al_u1697_o;
- wire _al_u1699_o;
- wire _al_u1700_o;
- wire _al_u1702_o;
- wire _al_u1704_o;
- wire _al_u1705_o;
- wire _al_u1710_o;
- wire _al_u1713_o;
- wire _al_u1714_o;
- wire _al_u1716_o;
- wire _al_u1717_o;
- wire _al_u1718_o;
- wire _al_u1720_o;
- wire _al_u1721_o;
- wire _al_u1723_o;
- wire _al_u1725_o;
- wire _al_u1727_o;
- wire _al_u1728_o;
- wire _al_u1729_o;
- wire _al_u1731_o;
- wire _al_u1732_o;
- wire _al_u1733_o;
- wire _al_u1747_o;
- wire _al_u1749_o;
- wire _al_u1752_o;
- wire _al_u1754_o;
- wire _al_u1755_o;
- wire _al_u1756_o;
- wire _al_u1757_o;
- wire _al_u1758_o;
- wire _al_u1759_o;
- wire _al_u1763_o;
- wire _al_u1765_o;
- wire _al_u1766_o;
- wire _al_u1767_o;
- wire _al_u1768_o;
- wire _al_u1769_o;
- wire _al_u1770_o;
- wire _al_u1771_o;
- wire _al_u1772_o;
- wire _al_u1773_o;
- wire _al_u1774_o;
- wire _al_u1776_o;
- wire _al_u1777_o;
- wire _al_u1778_o;
- wire _al_u1781_o;
- wire _al_u1782_o;
- wire _al_u1783_o;
- wire _al_u1784_o;
- wire _al_u1785_o;
- wire _al_u1787_o;
- wire _al_u1788_o;
- wire _al_u1789_o;
- wire _al_u178_o;
- wire _al_u1790_o;
- wire _al_u1791_o;
- wire _al_u1793_o;
- wire _al_u1794_o;
- wire _al_u1795_o;
- wire _al_u1796_o;
- wire _al_u1797_o;
- wire _al_u1798_o;
- wire _al_u1799_o;
- wire _al_u1800_o;
- wire _al_u1804_o;
- wire _al_u1805_o;
- wire _al_u1807_o;
- wire _al_u1808_o;
- wire _al_u1809_o;
- wire _al_u1810_o;
- wire _al_u1811_o;
- wire _al_u1812_o;
- wire _al_u1813_o;
- wire _al_u1814_o;
- wire _al_u1815_o;
- wire _al_u1816_o;
- wire _al_u1817_o;
- wire _al_u1818_o;
- wire _al_u181_o;
- wire _al_u1820_o;
- wire _al_u1821_o;
- wire _al_u1822_o;
- wire _al_u1824_o;
- wire _al_u1825_o;
- wire _al_u1826_o;
- wire _al_u1827_o;
- wire _al_u1830_o;
- wire _al_u1832_o;
- wire _al_u1833_o;
- wire _al_u1834_o;
- wire _al_u1836_o;
- wire _al_u1838_o;
- wire _al_u184_o;
- wire _al_u1864_o;
- wire _al_u1866_o;
- wire _al_u1867_o;
- wire _al_u1868_o;
- wire _al_u1869_o;
- wire _al_u1870_o;
- wire _al_u1872_o;
- wire _al_u1873_o;
- wire _al_u1874_o;
- wire _al_u1876_o;
- wire _al_u1878_o;
- wire _al_u187_o;
- wire _al_u1880_o;
- wire _al_u1883_o;
- wire _al_u1885_o;
- wire _al_u1886_o;
- wire _al_u1887_o;
- wire _al_u1889_o;
- wire _al_u1890_o;
- wire _al_u1891_o;
- wire _al_u1894_o;
- wire _al_u1895_o;
- wire _al_u1897_o;
- wire _al_u1898_o;
- wire _al_u1899_o;
- wire _al_u1901_o;
- wire _al_u1902_o;
- wire _al_u1903_o;
- wire _al_u1904_o;
- wire _al_u1907_o;
- wire _al_u1908_o;
- wire _al_u1909_o;
- wire _al_u190_o;
- wire _al_u1911_o;
- wire _al_u1912_o;
- wire _al_u1913_o;
- wire _al_u1916_o;
- wire _al_u1917_o;
- wire _al_u1919_o;
- wire _al_u191_o;
- wire _al_u1920_o;
- wire _al_u1921_o;
- wire _al_u1924_o;
- wire _al_u1925_o;
- wire _al_u1926_o;
- wire _al_u1928_o;
- wire _al_u1930_o;
- wire _al_u1932_o;
- wire _al_u1934_o;
- wire _al_u1935_o;
- wire _al_u1937_o;
- wire _al_u1942_o;
- wire _al_u1944_o;
- wire _al_u1946_o;
- wire _al_u1948_o;
- wire _al_u194_o;
- wire _al_u1951_o;
- wire _al_u1953_o;
- wire _al_u1956_o;
- wire _al_u1958_o;
- wire _al_u1960_o;
- wire _al_u1962_o;
- wire _al_u1963_o;
- wire _al_u1964_o;
- wire _al_u1965_o;
- wire _al_u1966_o;
- wire _al_u1969_o;
- wire _al_u1970_o;
- wire _al_u1971_o;
- wire _al_u1972_o;
- wire _al_u1973_o;
- wire _al_u1974_o;
- wire _al_u1977_o;
- wire _al_u197_o;
- wire _al_u1980_o;
- wire _al_u1982_o;
- wire _al_u1983_o;
- wire _al_u1984_o;
- wire _al_u1985_o;
- wire _al_u1987_o;
- wire _al_u1988_o;
- wire _al_u1989_o;
- wire _al_u198_o;
- wire _al_u1990_o;
- wire _al_u1991_o;
- wire _al_u1993_o;
- wire _al_u1994_o;
- wire _al_u1995_o;
- wire _al_u1996_o;
- wire _al_u1998_o;
- wire _al_u1999_o;
- wire _al_u2000_o;
- wire _al_u2001_o;
- wire _al_u2002_o;
- wire _al_u2003_o;
- wire _al_u2004_o;
- wire _al_u2005_o;
- wire _al_u200_o;
- wire _al_u2010_o;
- wire _al_u2011_o;
- wire _al_u2012_o;
- wire _al_u2013_o;
- wire _al_u2014_o;
- wire _al_u2016_o;
- wire _al_u2017_o;
- wire _al_u2019_o;
- wire _al_u2020_o;
- wire _al_u2021_o;
- wire _al_u2023_o;
- wire _al_u2024_o;
- wire _al_u2025_o;
- wire _al_u2026_o;
- wire _al_u2028_o;
- wire _al_u2029_o;
- wire _al_u2030_o;
- wire _al_u2032_o;
- wire _al_u2033_o;
- wire _al_u2034_o;
- wire _al_u2037_o;
- wire _al_u2038_o;
- wire _al_u2039_o;
- wire _al_u203_o;
- wire _al_u2040_o;
- wire _al_u2042_o;
- wire _al_u2043_o;
- wire _al_u2045_o;
- wire _al_u2046_o;
- wire _al_u2048_o;
- wire _al_u2049_o;
- wire _al_u2051_o;
- wire _al_u2052_o;
- wire _al_u2053_o;
- wire _al_u2056_o;
- wire _al_u2057_o;
- wire _al_u2058_o;
- wire _al_u2059_o;
- wire _al_u205_o;
- wire _al_u2062_o;
- wire _al_u2065_o;
- wire _al_u2066_o;
- wire _al_u2068_o;
- wire _al_u206_o;
- wire _al_u2070_o;
- wire _al_u2071_o;
- wire _al_u2072_o;
- wire _al_u2073_o;
- wire _al_u2074_o;
- wire _al_u2075_o;
- wire _al_u2076_o;
- wire _al_u2077_o;
- wire _al_u2078_o;
- wire _al_u2079_o;
- wire _al_u2080_o;
- wire _al_u2081_o;
- wire _al_u2082_o;
- wire _al_u2083_o;
- wire _al_u2084_o;
- wire _al_u2085_o;
- wire _al_u2086_o;
- wire _al_u2087_o;
- wire _al_u2091_o;
- wire _al_u2094_o;
- wire _al_u2095_o;
- wire _al_u2097_o;
- wire _al_u209_o;
- wire _al_u2100_o;
- wire _al_u2101_o;
- wire _al_u2102_o;
- wire _al_u2104_o;
- wire _al_u2105_o;
- wire _al_u2106_o;
- wire _al_u2107_o;
- wire _al_u2108_o;
- wire _al_u2109_o;
- wire _al_u210_o;
- wire _al_u2110_o;
- wire _al_u2111_o;
- wire _al_u2112_o;
- wire _al_u2113_o;
- wire _al_u2114_o;
- wire _al_u2115_o;
- wire _al_u2116_o;
- wire _al_u2118_o;
- wire _al_u2119_o;
- wire _al_u211_o;
- wire _al_u2121_o;
- wire _al_u2122_o;
- wire _al_u2123_o;
- wire _al_u2124_o;
- wire _al_u2125_o;
- wire _al_u2126_o;
- wire _al_u2128_o;
- wire _al_u2129_o;
- wire _al_u212_o;
- wire _al_u2130_o;
- wire _al_u2131_o;
- wire _al_u2132_o;
- wire _al_u2133_o;
- wire _al_u2134_o;
- wire _al_u2135_o;
- wire _al_u2137_o;
- wire _al_u2138_o;
- wire _al_u2140_o;
- wire _al_u2141_o;
- wire _al_u2142_o;
- wire _al_u2143_o;
- wire _al_u2144_o;
- wire _al_u2146_o;
- wire _al_u2147_o;
- wire _al_u2148_o;
- wire _al_u2150_o;
- wire _al_u2151_o;
- wire _al_u2152_o;
- wire _al_u2153_o;
- wire _al_u2154_o;
- wire _al_u2155_o;
- wire _al_u2156_o;
- wire _al_u2157_o;
- wire _al_u2158_o;
- wire _al_u2159_o;
- wire _al_u215_o;
- wire _al_u2160_o;
- wire _al_u2161_o;
- wire _al_u2162_o;
- wire _al_u2163_o;
- wire _al_u2164_o;
- wire _al_u2165_o;
- wire _al_u2166_o;
- wire _al_u2167_o;
- wire _al_u2168_o;
- wire _al_u2169_o;
- wire _al_u216_o;
- wire _al_u2170_o;
- wire _al_u2171_o;
- wire _al_u2172_o;
- wire _al_u2173_o;
- wire _al_u2174_o;
- wire _al_u2175_o;
- wire _al_u2176_o;
- wire _al_u2178_o;
- wire _al_u2179_o;
- wire _al_u2180_o;
- wire _al_u2183_o;
- wire _al_u2185_o;
- wire _al_u2186_o;
- wire _al_u2187_o;
- wire _al_u2188_o;
- wire _al_u2189_o;
- wire _al_u218_o;
- wire _al_u2190_o;
- wire _al_u2191_o;
- wire _al_u2192_o;
- wire _al_u2193_o;
- wire _al_u2194_o;
- wire _al_u2195_o;
- wire _al_u2196_o;
- wire _al_u2197_o;
- wire _al_u2198_o;
- wire _al_u2200_o;
- wire _al_u2201_o;
- wire _al_u2202_o;
- wire _al_u2203_o;
- wire _al_u2204_o;
- wire _al_u2206_o;
- wire _al_u2207_o;
- wire _al_u2208_o;
- wire _al_u2209_o;
- wire _al_u2210_o;
- wire _al_u2211_o;
- wire _al_u2213_o;
- wire _al_u2214_o;
- wire _al_u2215_o;
- wire _al_u2216_o;
- wire _al_u2217_o;
- wire _al_u2218_o;
- wire _al_u2219_o;
- wire _al_u2220_o;
- wire _al_u2222_o;
- wire _al_u2223_o;
- wire _al_u2224_o;
- wire _al_u2225_o;
- wire _al_u2226_o;
- wire _al_u2227_o;
- wire _al_u2228_o;
- wire _al_u2229_o;
- wire _al_u222_o;
- wire _al_u2230_o;
- wire _al_u2231_o;
- wire _al_u2232_o;
- wire _al_u2233_o;
- wire _al_u2234_o;
- wire _al_u2235_o;
- wire _al_u2236_o;
- wire _al_u2237_o;
- wire _al_u2238_o;
- wire _al_u223_o;
- wire _al_u2240_o;
- wire _al_u2241_o;
- wire _al_u2242_o;
- wire _al_u2243_o;
- wire _al_u2244_o;
- wire _al_u2245_o;
- wire _al_u2247_o;
- wire _al_u2248_o;
- wire _al_u224_o;
- wire _al_u2251_o;
- wire _al_u2265_o;
- wire _al_u2272_o;
- wire _al_u2273_o;
- wire _al_u2274_o;
- wire _al_u2275_o;
- wire _al_u2276_o;
- wire _al_u2279_o;
- wire _al_u227_o;
- wire _al_u2280_o;
- wire _al_u2281_o;
- wire _al_u2282_o;
- wire _al_u2283_o;
- wire _al_u2284_o;
- wire _al_u2285_o;
- wire _al_u2286_o;
- wire _al_u2287_o;
- wire _al_u2288_o;
- wire _al_u2289_o;
- wire _al_u228_o;
- wire _al_u2290_o;
- wire _al_u2291_o;
- wire _al_u2292_o;
- wire _al_u2294_o;
- wire _al_u2295_o;
- wire _al_u2296_o;
- wire _al_u2297_o;
- wire _al_u2299_o;
- wire _al_u229_o;
- wire _al_u2300_o;
- wire _al_u2301_o;
- wire _al_u2302_o;
- wire _al_u2303_o;
- wire _al_u2304_o;
- wire _al_u2305_o;
- wire _al_u2306_o;
- wire _al_u2307_o;
- wire _al_u2308_o;
- wire _al_u2309_o;
- wire _al_u230_o;
- wire _al_u2310_o;
- wire _al_u2311_o;
- wire _al_u2312_o;
- wire _al_u2313_o;
- wire _al_u2314_o;
- wire _al_u2315_o;
- wire _al_u2316_o;
- wire _al_u2317_o;
- wire _al_u2318_o;
- wire _al_u2319_o;
- wire _al_u2320_o;
- wire _al_u2321_o;
- wire _al_u2322_o;
- wire _al_u2323_o;
- wire _al_u2325_o;
- wire _al_u2326_o;
- wire _al_u2327_o;
- wire _al_u2329_o;
- wire _al_u2330_o;
- wire _al_u2331_o;
- wire _al_u2332_o;
- wire _al_u2333_o;
- wire _al_u2335_o;
- wire _al_u2336_o;
- wire _al_u2337_o;
- wire _al_u2338_o;
- wire _al_u2339_o;
- wire _al_u233_o;
- wire _al_u2340_o;
- wire _al_u2341_o;
- wire _al_u2342_o;
- wire _al_u2347_o;
- wire _al_u2348_o;
- wire _al_u234_o;
- wire _al_u2350_o;
- wire _al_u2351_o;
- wire _al_u2353_o;
- wire _al_u2354_o;
- wire _al_u2355_o;
- wire _al_u2356_o;
- wire _al_u2358_o;
- wire _al_u235_o;
- wire _al_u2360_o;
- wire _al_u2361_o;
- wire _al_u2362_o;
- wire _al_u2363_o;
- wire _al_u2364_o;
- wire _al_u2365_o;
- wire _al_u2366_o;
- wire _al_u2367_o;
- wire _al_u2368_o;
- wire _al_u2369_o;
- wire _al_u236_o;
- wire _al_u2370_o;
- wire _al_u2371_o;
- wire _al_u2372_o;
- wire _al_u2373_o;
- wire _al_u2374_o;
- wire _al_u2375_o;
- wire _al_u2376_o;
- wire _al_u2377_o;
- wire _al_u2378_o;
- wire _al_u2379_o;
- wire _al_u2380_o;
- wire _al_u2381_o;
- wire _al_u2382_o;
- wire _al_u2383_o;
- wire _al_u2384_o;
- wire _al_u2386_o;
- wire _al_u2388_o;
- wire _al_u2389_o;
- wire _al_u2391_o;
- wire _al_u2393_o;
- wire _al_u2395_o;
- wire _al_u2397_o;
- wire _al_u2399_o;
- wire _al_u239_o;
- wire _al_u2401_o;
- wire _al_u2403_o;
- wire _al_u2405_o;
- wire _al_u2407_o;
- wire _al_u2409_o;
- wire _al_u240_o;
- wire _al_u2410_o;
- wire _al_u2412_o;
- wire _al_u2414_o;
- wire _al_u2416_o;
- wire _al_u2418_o;
- wire _al_u241_o;
- wire _al_u2420_o;
- wire _al_u2422_o;
- wire _al_u2424_o;
- wire _al_u2426_o;
- wire _al_u2428_o;
- wire _al_u2429_o;
- wire _al_u242_o;
- wire _al_u2430_o;
- wire _al_u2431_o;
- wire _al_u2432_o;
- wire _al_u2433_o;
- wire _al_u2434_o;
- wire _al_u2435_o;
- wire _al_u2436_o;
- wire _al_u2437_o;
- wire _al_u2438_o;
- wire _al_u2439_o;
- wire _al_u2440_o;
- wire _al_u2441_o;
- wire _al_u2442_o;
- wire _al_u2443_o;
- wire _al_u2444_o;
- wire _al_u2445_o;
- wire _al_u2447_o;
- wire _al_u2448_o;
- wire _al_u2450_o;
- wire _al_u2451_o;
- wire _al_u2452_o;
- wire _al_u2453_o;
- wire _al_u2456_o;
- wire _al_u2458_o;
- wire _al_u2459_o;
- wire _al_u245_o;
- wire _al_u2460_o;
- wire _al_u2461_o;
- wire _al_u2462_o;
- wire _al_u2463_o;
- wire _al_u2464_o;
- wire _al_u2465_o;
- wire _al_u2466_o;
- wire _al_u2467_o;
- wire _al_u2469_o;
- wire _al_u246_o;
- wire _al_u2470_o;
- wire _al_u2471_o;
- wire _al_u2473_o;
- wire _al_u2477_o;
- wire _al_u2478_o;
- wire _al_u247_o;
- wire _al_u2481_o;
- wire _al_u2482_o;
- wire _al_u2485_o;
- wire _al_u2486_o;
- wire _al_u248_o;
- wire _al_u2490_o;
- wire _al_u2494_o;
- wire _al_u2498_o;
- wire _al_u2501_o;
- wire _al_u2502_o;
- wire _al_u2505_o;
- wire _al_u2506_o;
- wire _al_u2509_o;
- wire _al_u2510_o;
- wire _al_u2514_o;
- wire _al_u2517_o;
- wire _al_u2518_o;
- wire _al_u251_o;
- wire _al_u2521_o;
- wire _al_u2522_o;
- wire _al_u2525_o;
- wire _al_u2526_o;
- wire _al_u2529_o;
- wire _al_u252_o;
- wire _al_u2530_o;
- wire _al_u2533_o;
- wire _al_u2534_o;
- wire _al_u2537_o;
- wire _al_u2538_o;
- wire _al_u2541_o;
- wire _al_u2542_o;
- wire _al_u2545_o;
- wire _al_u2546_o;
- wire _al_u2549_o;
- wire _al_u254_o;
- wire _al_u2550_o;
- wire _al_u2551_o;
- wire _al_u2552_o;
- wire _al_u2553_o;
- wire _al_u2554_o;
- wire _al_u2555_o;
- wire _al_u2556_o;
- wire _al_u2557_o;
- wire _al_u2558_o;
- wire _al_u2559_o;
- wire _al_u2560_o;
- wire _al_u2561_o;
- wire _al_u2562_o;
- wire _al_u2563_o;
- wire _al_u2564_o;
- wire _al_u2565_o;
- wire _al_u2566_o;
- wire _al_u2567_o;
- wire _al_u2568_o;
- wire _al_u2571_o;
- wire _al_u2572_o;
- wire _al_u2573_o;
- wire _al_u2578_o;
- wire _al_u257_o;
- wire _al_u2581_o;
- wire _al_u2582_o;
- wire _al_u2583_o;
- wire _al_u2584_o;
- wire _al_u2587_o;
- wire _al_u2589_o;
- wire _al_u258_o;
- wire _al_u2592_o;
- wire _al_u2594_o;
- wire _al_u2595_o;
- wire _al_u2598_o;
- wire _al_u259_o;
- wire _al_u2600_o;
- wire _al_u2602_o;
- wire _al_u2604_o;
- wire _al_u2605_o;
- wire _al_u2607_o;
- wire _al_u2609_o;
- wire _al_u260_o;
- wire _al_u2610_o;
- wire _al_u2612_o;
- wire _al_u2614_o;
- wire _al_u2615_o;
- wire _al_u2617_o;
- wire _al_u2619_o;
- wire _al_u2620_o;
- wire _al_u2622_o;
- wire _al_u2624_o;
- wire _al_u2627_o;
- wire _al_u2628_o;
- wire _al_u2629_o;
- wire _al_u2630_o;
- wire _al_u2631_o;
- wire _al_u2632_o;
- wire _al_u2634_o;
- wire _al_u2635_o;
- wire _al_u2636_o;
- wire _al_u2637_o;
- wire _al_u263_o;
- wire _al_u2642_o;
- wire _al_u2646_o;
- wire _al_u2647_o;
- wire _al_u2648_o;
- wire _al_u264_o;
- wire _al_u2651_o;
- wire _al_u2653_o;
- wire _al_u2655_o;
- wire _al_u2656_o;
- wire _al_u2657_o;
- wire _al_u2658_o;
- wire _al_u2659_o;
- wire _al_u265_o;
- wire _al_u2660_o;
- wire _al_u2661_o;
- wire _al_u2662_o;
- wire _al_u2663_o;
- wire _al_u2664_o;
- wire _al_u2665_o;
- wire _al_u2666_o;
- wire _al_u2667_o;
- wire _al_u2668_o;
- wire _al_u2669_o;
- wire _al_u266_o;
- wire _al_u2670_o;
- wire _al_u2671_o;
- wire _al_u2672_o;
- wire _al_u2673_o;
- wire _al_u2674_o;
- wire _al_u2675_o;
- wire _al_u2676_o;
- wire _al_u2677_o;
- wire _al_u2678_o;
- wire _al_u2679_o;
- wire _al_u2680_o;
- wire _al_u2681_o;
- wire _al_u2682_o;
- wire _al_u2683_o;
- wire _al_u2684_o;
- wire _al_u2685_o;
- wire _al_u2687_o;
- wire _al_u2688_o;
- wire _al_u2689_o;
- wire _al_u2690_o;
- wire _al_u2692_o;
- wire _al_u2694_o;
- wire _al_u2695_o;
- wire _al_u2696_o;
- wire _al_u2697_o;
- wire _al_u2698_o;
- wire _al_u269_o;
- wire _al_u2700_o;
- wire _al_u2701_o;
- wire _al_u2702_o;
- wire _al_u2703_o;
- wire _al_u2704_o;
- wire _al_u2705_o;
- wire _al_u2707_o;
- wire _al_u2708_o;
- wire _al_u2709_o;
- wire _al_u270_o;
- wire _al_u2710_o;
- wire _al_u2715_o;
- wire _al_u2716_o;
- wire _al_u2717_o;
- wire _al_u2718_o;
- wire _al_u2719_o;
- wire _al_u271_o;
- wire _al_u2720_o;
- wire _al_u2721_o;
- wire _al_u2722_o;
- wire _al_u2723_o;
- wire _al_u2724_o;
- wire _al_u2726_o;
- wire _al_u2727_o;
- wire _al_u2728_o;
- wire _al_u2729_o;
- wire _al_u272_o;
- wire _al_u2730_o;
- wire _al_u2731_o;
- wire _al_u2732_o;
- wire _al_u2733_o;
- wire _al_u2734_o;
- wire _al_u2735_o;
- wire _al_u2736_o;
- wire _al_u2737_o;
- wire _al_u2738_o;
- wire _al_u2739_o;
- wire _al_u2740_o;
- wire _al_u2741_o;
- wire _al_u2742_o;
- wire _al_u2743_o;
- wire _al_u2744_o;
- wire _al_u2745_o;
- wire _al_u2746_o;
- wire _al_u2748_o;
- wire _al_u2749_o;
- wire _al_u2750_o;
- wire _al_u2751_o;
- wire _al_u2753_o;
- wire _al_u2756_o;
- wire _al_u2757_o;
- wire _al_u2759_o;
- wire _al_u2773_o;
- wire _al_u277_o;
- wire _al_u278_o;
- wire _al_u2799_o;
- wire _al_u2800_o;
- wire _al_u2801_o;
- wire _al_u2804_o;
- wire _al_u2806_o;
- wire _al_u2807_o;
- wire _al_u2808_o;
- wire _al_u2810_o;
- wire _al_u2811_o;
- wire _al_u2812_o;
- wire _al_u2813_o;
- wire _al_u2814_o;
- wire _al_u2815_o;
- wire _al_u2816_o;
- wire _al_u2817_o;
- wire _al_u2818_o;
- wire _al_u2819_o;
- wire _al_u281_o;
- wire _al_u2820_o;
- wire _al_u2821_o;
- wire _al_u2822_o;
- wire _al_u2823_o;
- wire _al_u2824_o;
- wire _al_u2825_o;
- wire _al_u2826_o;
- wire _al_u2827_o;
- wire _al_u2828_o;
- wire _al_u2829_o;
- wire _al_u282_o;
- wire _al_u2830_o;
- wire _al_u2831_o;
- wire _al_u2832_o;
- wire _al_u2833_o;
- wire _al_u2834_o;
- wire _al_u2835_o;
- wire _al_u2836_o;
- wire _al_u2837_o;
- wire _al_u2838_o;
- wire _al_u2839_o;
- wire _al_u283_o;
- wire _al_u2841_o;
- wire _al_u2842_o;
- wire _al_u2844_o;
- wire _al_u2845_o;
- wire _al_u2846_o;
- wire _al_u284_o;
- wire _al_u2852_o;
- wire _al_u2853_o;
- wire _al_u2854_o;
- wire _al_u2856_o;
- wire _al_u2857_o;
- wire _al_u2858_o;
- wire _al_u2859_o;
- wire _al_u2861_o;
- wire _al_u2864_o;
- wire _al_u2866_o;
- wire _al_u2867_o;
- wire _al_u2870_o;
- wire _al_u2871_o;
- wire _al_u2872_o;
- wire _al_u2874_o;
- wire _al_u2875_o;
- wire _al_u2876_o;
- wire _al_u2878_o;
- wire _al_u2879_o;
- wire _al_u287_o;
- wire _al_u2881_o;
- wire _al_u2882_o;
- wire _al_u2887_o;
- wire _al_u2888_o;
- wire _al_u2889_o;
- wire _al_u288_o;
- wire _al_u2891_o;
- wire _al_u2892_o;
- wire _al_u289_o;
- wire _al_u2903_o;
- wire _al_u2904_o;
- wire _al_u2906_o;
- wire _al_u2907_o;
- wire _al_u2908_o;
- wire _al_u290_o;
- wire _al_u2910_o;
- wire _al_u2911_o;
- wire _al_u2913_o;
- wire _al_u2914_o;
- wire _al_u2915_o;
- wire _al_u2917_o;
- wire _al_u2919_o;
- wire _al_u2921_o;
- wire _al_u2924_o;
- wire _al_u2928_o;
- wire _al_u2929_o;
- wire _al_u2930_o;
- wire _al_u2932_o;
- wire _al_u2933_o;
- wire _al_u2934_o;
- wire _al_u2936_o;
- wire _al_u2937_o;
- wire _al_u2938_o;
- wire _al_u2939_o;
- wire _al_u293_o;
- wire _al_u2940_o;
- wire _al_u2941_o;
- wire _al_u2942_o;
- wire _al_u2944_o;
- wire _al_u2945_o;
- wire _al_u2946_o;
- wire _al_u2947_o;
- wire _al_u2948_o;
- wire _al_u2949_o;
- wire _al_u294_o;
- wire _al_u2950_o;
- wire _al_u2953_o;
- wire _al_u2955_o;
- wire _al_u2957_o;
- wire _al_u2959_o;
- wire _al_u295_o;
- wire _al_u2961_o;
- wire _al_u2963_o;
- wire _al_u2964_o;
- wire _al_u2965_o;
- wire _al_u2968_o;
- wire _al_u2969_o;
- wire _al_u296_o;
- wire _al_u2971_o;
- wire _al_u2976_o;
- wire _al_u2979_o;
- wire _al_u2981_o;
- wire _al_u2983_o;
- wire _al_u2984_o;
- wire _al_u2985_o;
- wire _al_u2986_o;
- wire _al_u2988_o;
- wire _al_u2989_o;
- wire _al_u2991_o;
- wire _al_u2993_o;
- wire _al_u2994_o;
- wire _al_u2996_o;
- wire _al_u2997_o;
- wire _al_u2998_o;
- wire _al_u2999_o;
- wire _al_u299_o;
- wire _al_u3001_o;
- wire _al_u3002_o;
- wire _al_u3003_o;
- wire _al_u3005_o;
- wire _al_u3007_o;
- wire _al_u3008_o;
- wire _al_u3009_o;
- wire _al_u300_o;
- wire _al_u3010_o;
- wire _al_u3011_o;
- wire _al_u3012_o;
- wire _al_u3013_o;
- wire _al_u3014_o;
- wire _al_u3015_o;
- wire _al_u3016_o;
- wire _al_u3018_o;
- wire _al_u3019_o;
- wire _al_u301_o;
- wire _al_u3020_o;
- wire _al_u3021_o;
- wire _al_u3022_o;
- wire _al_u3023_o;
- wire _al_u3024_o;
- wire _al_u3026_o;
- wire _al_u3027_o;
- wire _al_u3029_o;
- wire _al_u302_o;
- wire _al_u3030_o;
- wire _al_u3031_o;
- wire _al_u3032_o;
- wire _al_u3035_o;
- wire _al_u3036_o;
- wire _al_u3038_o;
- wire _al_u3039_o;
- wire _al_u303_o;
- wire _al_u3041_o;
- wire _al_u3043_o;
- wire _al_u3044_o;
- wire _al_u3045_o;
- wire _al_u3046_o;
- wire _al_u3047_o;
- wire _al_u3049_o;
- wire _al_u3052_o;
- wire _al_u3053_o;
- wire _al_u3055_o;
- wire _al_u3056_o;
- wire _al_u3057_o;
- wire _al_u3058_o;
- wire _al_u3059_o;
- wire _al_u305_o;
- wire _al_u3060_o;
- wire _al_u3062_o;
- wire _al_u3063_o;
- wire _al_u3064_o;
- wire _al_u3065_o;
- wire _al_u3067_o;
- wire _al_u3068_o;
- wire _al_u3069_o;
- wire _al_u306_o;
- wire _al_u3072_o;
- wire _al_u3074_o;
- wire _al_u3076_o;
- wire _al_u3077_o;
- wire _al_u3078_o;
- wire _al_u3079_o;
- wire _al_u307_o;
- wire _al_u3080_o;
- wire _al_u3081_o;
- wire _al_u3082_o;
- wire _al_u3083_o;
- wire _al_u3085_o;
- wire _al_u3086_o;
- wire _al_u3087_o;
- wire _al_u3088_o;
- wire _al_u3089_o;
- wire _al_u308_o;
- wire _al_u3090_o;
- wire _al_u3091_o;
- wire _al_u3092_o;
- wire _al_u3093_o;
- wire _al_u3094_o;
- wire _al_u3095_o;
- wire _al_u3096_o;
- wire _al_u3097_o;
- wire _al_u3098_o;
- wire _al_u3099_o;
- wire _al_u3100_o;
- wire _al_u3101_o;
- wire _al_u3102_o;
- wire _al_u3104_o;
- wire _al_u3105_o;
- wire _al_u3106_o;
- wire _al_u3107_o;
- wire _al_u3108_o;
- wire _al_u3109_o;
- wire _al_u3110_o;
- wire _al_u3113_o;
- wire _al_u3114_o;
- wire _al_u3115_o;
- wire _al_u3116_o;
- wire _al_u3119_o;
- wire _al_u311_o;
- wire _al_u3120_o;
- wire _al_u3122_o;
- wire _al_u3123_o;
- wire _al_u3124_o;
- wire _al_u3125_o;
- wire _al_u3126_o;
- wire _al_u3127_o;
- wire _al_u312_o;
- wire _al_u3130_o;
- wire _al_u3132_o;
- wire _al_u3133_o;
- wire _al_u3134_o;
- wire _al_u3135_o;
- wire _al_u3136_o;
- wire _al_u3139_o;
- wire _al_u313_o;
- wire _al_u3140_o;
- wire _al_u3141_o;
- wire _al_u3142_o;
- wire _al_u3144_o;
- wire _al_u3146_o;
- wire _al_u3147_o;
- wire _al_u3148_o;
- wire _al_u3149_o;
- wire _al_u3150_o;
- wire _al_u3151_o;
- wire _al_u3152_o;
- wire _al_u3155_o;
- wire _al_u3156_o;
- wire _al_u3157_o;
- wire _al_u3160_o;
- wire _al_u3161_o;
- wire _al_u3162_o;
- wire _al_u3164_o;
- wire _al_u3165_o;
- wire _al_u3166_o;
- wire _al_u3167_o;
- wire _al_u3170_o;
- wire _al_u3172_o;
- wire _al_u3174_o;
- wire _al_u3175_o;
- wire _al_u3176_o;
- wire _al_u3177_o;
- wire _al_u3178_o;
- wire _al_u3179_o;
- wire _al_u317_o;
- wire _al_u3181_o;
- wire _al_u3182_o;
- wire _al_u3183_o;
- wire _al_u3185_o;
- wire _al_u3186_o;
- wire _al_u3187_o;
- wire _al_u3190_o;
- wire _al_u3191_o;
- wire _al_u3192_o;
- wire _al_u3194_o;
- wire _al_u3195_o;
- wire _al_u3196_o;
- wire _al_u3197_o;
- wire _al_u3198_o;
- wire _al_u319_o;
- wire _al_u3200_o;
- wire _al_u3201_o;
- wire _al_u3202_o;
- wire _al_u3203_o;
- wire _al_u3205_o;
- wire _al_u3206_o;
- wire _al_u3207_o;
- wire _al_u3209_o;
- wire _al_u320_o;
- wire _al_u3210_o;
- wire _al_u3211_o;
- wire _al_u3212_o;
- wire _al_u3213_o;
- wire _al_u3214_o;
- wire _al_u3215_o;
- wire _al_u3217_o;
- wire _al_u3219_o;
- wire _al_u3220_o;
- wire _al_u3221_o;
- wire _al_u3222_o;
- wire _al_u3223_o;
- wire _al_u3224_o;
- wire _al_u3226_o;
- wire _al_u3227_o;
- wire _al_u3228_o;
- wire _al_u3229_o;
- wire _al_u3230_o;
- wire _al_u3232_o;
- wire _al_u3233_o;
- wire _al_u3234_o;
- wire _al_u3235_o;
- wire _al_u3237_o;
- wire _al_u3238_o;
- wire _al_u3239_o;
- wire _al_u323_o;
- wire _al_u3240_o;
- wire _al_u3241_o;
- wire _al_u3243_o;
- wire _al_u3244_o;
- wire _al_u3245_o;
- wire _al_u3246_o;
- wire _al_u3247_o;
- wire _al_u324_o;
- wire _al_u3250_o;
- wire _al_u3251_o;
- wire _al_u3252_o;
- wire _al_u3253_o;
- wire _al_u3254_o;
- wire _al_u3256_o;
- wire _al_u3257_o;
- wire _al_u3258_o;
- wire _al_u3259_o;
- wire _al_u325_o;
- wire _al_u3260_o;
- wire _al_u3262_o;
- wire _al_u3263_o;
- wire _al_u3264_o;
- wire _al_u3265_o;
- wire _al_u3266_o;
- wire _al_u326_o;
- wire _al_u3270_o;
- wire _al_u3272_o;
- wire _al_u3273_o;
- wire _al_u3274_o;
- wire _al_u3275_o;
- wire _al_u3276_o;
- wire _al_u3278_o;
- wire _al_u3280_o;
- wire _al_u3281_o;
- wire _al_u3283_o;
- wire _al_u3284_o;
- wire _al_u3285_o;
- wire _al_u3286_o;
- wire _al_u3287_o;
- wire _al_u3288_o;
- wire _al_u3290_o;
- wire _al_u3292_o;
- wire _al_u3293_o;
- wire _al_u3296_o;
- wire _al_u3297_o;
- wire _al_u3299_o;
- wire _al_u329_o;
- wire _al_u3303_o;
- wire _al_u3305_o;
- wire _al_u3306_o;
- wire _al_u3308_o;
- wire _al_u3309_o;
- wire _al_u330_o;
- wire _al_u3312_o;
- wire _al_u3313_o;
- wire _al_u3315_o;
- wire _al_u3316_o;
- wire _al_u3317_o;
- wire _al_u331_o;
- wire _al_u3320_o;
- wire _al_u3322_o;
- wire _al_u3323_o;
- wire _al_u3326_o;
- wire _al_u332_o;
- wire _al_u3330_o;
- wire _al_u3331_o;
- wire _al_u3334_o;
- wire _al_u3335_o;
- wire _al_u3339_o;
- wire _al_u3341_o;
- wire _al_u3342_o;
- wire _al_u3347_o;
- wire _al_u3350_o;
- wire _al_u3351_o;
- wire _al_u3352_o;
- wire _al_u3353_o;
- wire _al_u3357_o;
- wire _al_u335_o;
- wire _al_u3360_o;
- wire _al_u3361_o;
- wire _al_u3362_o;
- wire _al_u3364_o;
- wire _al_u3366_o;
- wire _al_u3368_o;
- wire _al_u336_o;
- wire _al_u3372_o;
- wire _al_u3373_o;
- wire _al_u3374_o;
- wire _al_u3376_o;
- wire _al_u3378_o;
- wire _al_u3379_o;
- wire _al_u337_o;
- wire _al_u3382_o;
- wire _al_u3384_o;
- wire _al_u3385_o;
- wire _al_u3386_o;
- wire _al_u3388_o;
- wire _al_u338_o;
- wire _al_u3390_o;
- wire _al_u3391_o;
- wire _al_u3392_o;
- wire _al_u3393_o;
- wire _al_u3394_o;
- wire _al_u3396_o;
- wire _al_u3398_o;
- wire _al_u3401_o;
- wire _al_u3402_o;
- wire _al_u3403_o;
- wire _al_u3404_o;
- wire _al_u3405_o;
- wire _al_u3406_o;
- wire _al_u3407_o;
- wire _al_u3408_o;
- wire _al_u3410_o;
- wire _al_u3412_o;
- wire _al_u3417_o;
- wire _al_u341_o;
- wire _al_u3420_o;
- wire _al_u3421_o;
- wire _al_u3425_o;
- wire _al_u3428_o;
- wire _al_u3429_o;
- wire _al_u342_o;
- wire _al_u3432_o;
- wire _al_u3434_o;
- wire _al_u3436_o;
- wire _al_u3439_o;
- wire _al_u343_o;
- wire _al_u3443_o;
- wire _al_u3445_o;
- wire _al_u3447_o;
- wire _al_u3449_o;
- wire _al_u344_o;
- wire _al_u3450_o;
- wire _al_u3451_o;
- wire _al_u3452_o;
- wire _al_u3453_o;
- wire _al_u3454_o;
- wire _al_u3456_o;
- wire _al_u3457_o;
- wire _al_u3458_o;
- wire _al_u3460_o;
- wire _al_u3462_o;
- wire _al_u3464_o;
- wire _al_u3476_o;
- wire _al_u3478_o;
- wire _al_u347_o;
- wire _al_u3480_o;
- wire _al_u3481_o;
- wire _al_u3482_o;
- wire _al_u3483_o;
- wire _al_u3484_o;
- wire _al_u3486_o;
- wire _al_u3487_o;
- wire _al_u3488_o;
- wire _al_u3489_o;
- wire _al_u348_o;
- wire _al_u3490_o;
- wire _al_u3491_o;
- wire _al_u3493_o;
- wire _al_u3494_o;
- wire _al_u3495_o;
- wire _al_u3496_o;
- wire _al_u3497_o;
- wire _al_u3499_o;
- wire _al_u349_o;
- wire _al_u3500_o;
- wire _al_u3501_o;
- wire _al_u3502_o;
- wire _al_u3503_o;
- wire _al_u3504_o;
- wire _al_u3505_o;
- wire _al_u3507_o;
- wire _al_u3509_o;
- wire _al_u350_o;
- wire _al_u3510_o;
- wire _al_u3512_o;
- wire _al_u3513_o;
- wire _al_u3514_o;
- wire _al_u3515_o;
- wire _al_u3516_o;
- wire _al_u3517_o;
- wire _al_u3518_o;
- wire _al_u3519_o;
- wire _al_u3520_o;
- wire _al_u3521_o;
- wire _al_u3522_o;
- wire _al_u3524_o;
- wire _al_u3525_o;
- wire _al_u3527_o;
- wire _al_u3528_o;
- wire _al_u3529_o;
- wire _al_u3530_o;
- wire _al_u3531_o;
- wire _al_u3532_o;
- wire _al_u3533_o;
- wire _al_u3534_o;
- wire _al_u3535_o;
- wire _al_u3536_o;
- wire _al_u3537_o;
- wire _al_u3538_o;
- wire _al_u3539_o;
- wire _al_u353_o;
- wire _al_u3540_o;
- wire _al_u3541_o;
- wire _al_u3542_o;
- wire _al_u3543_o;
- wire _al_u3544_o;
- wire _al_u3545_o;
- wire _al_u3546_o;
- wire _al_u3547_o;
- wire _al_u3548_o;
- wire _al_u3549_o;
- wire _al_u354_o;
- wire _al_u3550_o;
- wire _al_u3551_o;
- wire _al_u3552_o;
- wire _al_u3553_o;
- wire _al_u3554_o;
- wire _al_u3555_o;
- wire _al_u3556_o;
- wire _al_u3557_o;
- wire _al_u3558_o;
- wire _al_u3559_o;
- wire _al_u355_o;
- wire _al_u3560_o;
- wire _al_u3561_o;
- wire _al_u3562_o;
- wire _al_u3563_o;
- wire _al_u3564_o;
- wire _al_u3565_o;
- wire _al_u3566_o;
- wire _al_u3567_o;
- wire _al_u3568_o;
- wire _al_u3569_o;
- wire _al_u356_o;
- wire _al_u3570_o;
- wire _al_u3571_o;
- wire _al_u3572_o;
- wire _al_u3573_o;
- wire _al_u3574_o;
- wire _al_u3575_o;
- wire _al_u3576_o;
- wire _al_u3577_o;
- wire _al_u3578_o;
- wire _al_u3579_o;
- wire _al_u3580_o;
- wire _al_u3581_o;
- wire _al_u3582_o;
- wire _al_u3583_o;
- wire _al_u3584_o;
- wire _al_u3585_o;
- wire _al_u3586_o;
- wire _al_u3587_o;
- wire _al_u3588_o;
- wire _al_u3589_o;
- wire _al_u3590_o;
- wire _al_u3591_o;
- wire _al_u3592_o;
- wire _al_u3593_o;
- wire _al_u3594_o;
- wire _al_u3595_o;
- wire _al_u3596_o;
- wire _al_u3597_o;
- wire _al_u3598_o;
- wire _al_u3599_o;
- wire _al_u359_o;
- wire _al_u3600_o;
- wire _al_u3601_o;
- wire _al_u3602_o;
- wire _al_u3603_o;
- wire _al_u3604_o;
- wire _al_u3605_o;
- wire _al_u3606_o;
- wire _al_u3607_o;
- wire _al_u3608_o;
- wire _al_u3609_o;
- wire _al_u360_o;
- wire _al_u3610_o;
- wire _al_u3611_o;
- wire _al_u3612_o;
- wire _al_u3613_o;
- wire _al_u3614_o;
- wire _al_u3615_o;
- wire _al_u3616_o;
- wire _al_u3617_o;
- wire _al_u3618_o;
- wire _al_u3619_o;
- wire _al_u361_o;
- wire _al_u3620_o;
- wire _al_u3621_o;
- wire _al_u3622_o;
- wire _al_u3623_o;
- wire _al_u3624_o;
- wire _al_u3625_o;
- wire _al_u3626_o;
- wire _al_u3627_o;
- wire _al_u3628_o;
- wire _al_u3629_o;
- wire _al_u362_o;
- wire _al_u3630_o;
- wire _al_u3631_o;
- wire _al_u3633_o;
- wire _al_u3634_o;
- wire _al_u3635_o;
- wire _al_u3643_o;
- wire _al_u3645_o;
- wire _al_u3646_o;
- wire _al_u3647_o;
- wire _al_u3648_o;
- wire _al_u3649_o;
- wire _al_u3650_o;
- wire _al_u3651_o;
- wire _al_u3652_o;
- wire _al_u3654_o;
- wire _al_u3655_o;
- wire _al_u3657_o;
- wire _al_u3658_o;
- wire _al_u3659_o;
- wire _al_u365_o;
- wire _al_u3660_o;
- wire _al_u3661_o;
- wire _al_u3663_o;
- wire _al_u3664_o;
- wire _al_u3665_o;
- wire _al_u3666_o;
- wire _al_u366_o;
- wire _al_u3671_o;
- wire _al_u3672_o;
- wire _al_u3675_o;
- wire _al_u3676_o;
- wire _al_u3677_o;
- wire _al_u3678_o;
- wire _al_u3681_o;
- wire _al_u3684_o;
- wire _al_u3686_o;
- wire _al_u3689_o;
- wire _al_u368_o;
- wire _al_u3690_o;
- wire _al_u3691_o;
- wire _al_u3694_o;
- wire _al_u3695_o;
- wire _al_u3697_o;
- wire _al_u3698_o;
- wire _al_u3701_o;
- wire _al_u3702_o;
- wire _al_u3704_o;
- wire _al_u3705_o;
- wire _al_u3706_o;
- wire _al_u3708_o;
- wire _al_u3710_o;
- wire _al_u3711_o;
- wire _al_u3712_o;
- wire _al_u3714_o;
- wire _al_u3715_o;
- wire _al_u3716_o;
- wire _al_u3718_o;
- wire _al_u3719_o;
- wire _al_u371_o;
- wire _al_u3720_o;
- wire _al_u3722_o;
- wire _al_u3723_o;
- wire _al_u3725_o;
- wire _al_u3729_o;
- wire _al_u372_o;
- wire _al_u3733_o;
- wire _al_u3735_o;
- wire _al_u3738_o;
- wire _al_u3739_o;
- wire _al_u373_o;
- wire _al_u3741_o;
- wire _al_u3742_o;
- wire _al_u3743_o;
- wire _al_u3744_o;
- wire _al_u3745_o;
- wire _al_u3746_o;
- wire _al_u374_o;
- wire _al_u3750_o;
- wire _al_u3752_o;
- wire _al_u3754_o;
- wire _al_u3756_o;
- wire _al_u3757_o;
- wire _al_u3760_o;
- wire _al_u3761_o;
- wire _al_u3762_o;
- wire _al_u3763_o;
- wire _al_u3764_o;
- wire _al_u3765_o;
- wire _al_u3766_o;
- wire _al_u3769_o;
- wire _al_u3770_o;
- wire _al_u3771_o;
- wire _al_u3772_o;
- wire _al_u3773_o;
- wire _al_u3774_o;
- wire _al_u3775_o;
- wire _al_u3776_o;
- wire _al_u3778_o;
- wire _al_u3779_o;
- wire _al_u377_o;
- wire _al_u3782_o;
- wire _al_u3783_o;
- wire _al_u3785_o;
- wire _al_u3786_o;
- wire _al_u3788_o;
- wire _al_u378_o;
- wire _al_u3790_o;
- wire _al_u3791_o;
- wire _al_u3792_o;
- wire _al_u3793_o;
- wire _al_u3795_o;
- wire _al_u3796_o;
- wire _al_u3797_o;
- wire _al_u3798_o;
- wire _al_u3799_o;
- wire _al_u3800_o;
- wire _al_u3802_o;
- wire _al_u3803_o;
- wire _al_u3806_o;
- wire _al_u3807_o;
- wire _al_u3809_o;
- wire _al_u380_o;
- wire _al_u3810_o;
- wire _al_u3811_o;
- wire _al_u3812_o;
- wire _al_u3813_o;
- wire _al_u3815_o;
- wire _al_u3816_o;
- wire _al_u3817_o;
- wire _al_u3818_o;
- wire _al_u3819_o;
- wire _al_u3820_o;
- wire _al_u3821_o;
- wire _al_u3822_o;
- wire _al_u3824_o;
- wire _al_u3826_o;
- wire _al_u3827_o;
- wire _al_u3828_o;
- wire _al_u3829_o;
- wire _al_u3830_o;
- wire _al_u3831_o;
- wire _al_u3832_o;
- wire _al_u3833_o;
- wire _al_u3834_o;
- wire _al_u3836_o;
- wire _al_u3838_o;
- wire _al_u3839_o;
- wire _al_u3840_o;
- wire _al_u3841_o;
- wire _al_u3842_o;
- wire _al_u3843_o;
- wire _al_u3844_o;
- wire _al_u3845_o;
- wire _al_u3846_o;
- wire _al_u3847_o;
- wire _al_u3848_o;
- wire _al_u3849_o;
- wire _al_u3850_o;
- wire _al_u3851_o;
- wire _al_u3853_o;
- wire _al_u3855_o;
- wire _al_u3857_o;
- wire _al_u3858_o;
- wire _al_u3859_o;
- wire _al_u385_o;
- wire _al_u3860_o;
- wire _al_u3861_o;
- wire _al_u3863_o;
- wire _al_u3864_o;
- wire _al_u3865_o;
- wire _al_u3866_o;
- wire _al_u3867_o;
- wire _al_u3868_o;
- wire _al_u3869_o;
- wire _al_u386_o;
- wire _al_u3870_o;
- wire _al_u3871_o;
- wire _al_u3872_o;
- wire _al_u3873_o;
- wire _al_u3876_o;
- wire _al_u3877_o;
- wire _al_u3878_o;
- wire _al_u3879_o;
- wire _al_u3883_o;
- wire _al_u3885_o;
- wire _al_u3887_o;
- wire _al_u3889_o;
- wire _al_u388_o;
- wire _al_u3890_o;
- wire _al_u3891_o;
- wire _al_u3892_o;
- wire _al_u3893_o;
- wire _al_u3894_o;
- wire _al_u3898_o;
- wire _al_u3899_o;
- wire _al_u3900_o;
- wire _al_u3902_o;
- wire _al_u3903_o;
- wire _al_u3905_o;
- wire _al_u3906_o;
- wire _al_u3907_o;
- wire _al_u3908_o;
- wire _al_u3909_o;
- wire _al_u390_o;
- wire _al_u3910_o;
- wire _al_u3911_o;
- wire _al_u3912_o;
- wire _al_u3914_o;
- wire _al_u3915_o;
- wire _al_u3916_o;
- wire _al_u3917_o;
- wire _al_u3919_o;
- wire _al_u391_o;
- wire _al_u3920_o;
- wire _al_u3921_o;
- wire _al_u3922_o;
- wire _al_u3923_o;
- wire _al_u3924_o;
- wire _al_u3927_o;
- wire _al_u3928_o;
- wire _al_u3929_o;
- wire _al_u392_o;
- wire _al_u3931_o;
- wire _al_u3932_o;
- wire _al_u3933_o;
- wire _al_u3934_o;
- wire _al_u3935_o;
- wire _al_u3936_o;
- wire _al_u3937_o;
- wire _al_u3938_o;
- wire _al_u3939_o;
- wire _al_u3940_o;
- wire _al_u3941_o;
- wire _al_u3942_o;
- wire _al_u3944_o;
- wire _al_u3945_o;
- wire _al_u3946_o;
- wire _al_u3947_o;
- wire _al_u3948_o;
- wire _al_u394_o;
- wire _al_u3950_o;
- wire _al_u3952_o;
- wire _al_u3953_o;
- wire _al_u3954_o;
- wire _al_u3955_o;
- wire _al_u3956_o;
- wire _al_u3957_o;
- wire _al_u3958_o;
- wire _al_u3959_o;
- wire _al_u395_o;
- wire _al_u3960_o;
- wire _al_u3961_o;
- wire _al_u3962_o;
- wire _al_u3963_o;
- wire _al_u3964_o;
- wire _al_u3965_o;
- wire _al_u3966_o;
- wire _al_u3967_o;
- wire _al_u3970_o;
- wire _al_u3971_o;
- wire _al_u3972_o;
- wire _al_u3973_o;
- wire _al_u3975_o;
- wire _al_u3977_o;
- wire _al_u3978_o;
- wire _al_u3979_o;
- wire _al_u397_o;
- wire _al_u3980_o;
- wire _al_u3981_o;
- wire _al_u3982_o;
- wire _al_u3983_o;
- wire _al_u3984_o;
- wire _al_u3985_o;
- wire _al_u3988_o;
- wire _al_u3989_o;
- wire _al_u398_o;
- wire _al_u3990_o;
- wire _al_u3991_o;
- wire _al_u3992_o;
- wire _al_u3993_o;
- wire _al_u3994_o;
- wire _al_u3995_o;
- wire _al_u3997_o;
- wire _al_u3998_o;
- wire _al_u3999_o;
- wire _al_u4000_o;
- wire _al_u4001_o;
- wire _al_u4002_o;
- wire _al_u4004_o;
- wire _al_u4005_o;
- wire _al_u4007_o;
- wire _al_u4008_o;
- wire _al_u400_o;
- wire _al_u4010_o;
- wire _al_u4012_o;
- wire _al_u4014_o;
- wire _al_u4015_o;
- wire _al_u4016_o;
- wire _al_u4017_o;
- wire _al_u4019_o;
- wire _al_u401_o;
- wire _al_u4020_o;
- wire _al_u4021_o;
- wire _al_u4022_o;
- wire _al_u4023_o;
- wire _al_u4024_o;
- wire _al_u4025_o;
- wire _al_u4027_o;
- wire _al_u4028_o;
- wire _al_u4029_o;
- wire _al_u4031_o;
- wire _al_u4032_o;
- wire _al_u4033_o;
- wire _al_u4034_o;
- wire _al_u4035_o;
- wire _al_u4036_o;
- wire _al_u4038_o;
- wire _al_u4039_o;
- wire _al_u403_o;
- wire _al_u4041_o;
- wire _al_u4042_o;
- wire _al_u4044_o;
- wire _al_u4045_o;
- wire _al_u4046_o;
- wire _al_u4047_o;
- wire _al_u4048_o;
- wire _al_u4049_o;
- wire _al_u404_o;
- wire _al_u4051_o;
- wire _al_u4053_o;
- wire _al_u4055_o;
- wire _al_u4057_o;
- wire _al_u4059_o;
- wire _al_u405_o;
- wire _al_u4060_o;
- wire _al_u4061_o;
- wire _al_u4062_o;
- wire _al_u4063_o;
- wire _al_u4064_o;
- wire _al_u4065_o;
- wire _al_u4066_o;
- wire _al_u4067_o;
- wire _al_u4069_o;
- wire _al_u406_o;
- wire _al_u4070_o;
- wire _al_u4072_o;
- wire _al_u4074_o;
- wire _al_u4076_o;
- wire _al_u4077_o;
- wire _al_u4078_o;
- wire _al_u4079_o;
- wire _al_u407_o;
- wire _al_u4080_o;
- wire _al_u4081_o;
- wire _al_u4082_o;
- wire _al_u4083_o;
- wire _al_u4084_o;
- wire _al_u4085_o;
- wire _al_u4086_o;
- wire _al_u4088_o;
- wire _al_u4089_o;
- wire _al_u4090_o;
- wire _al_u4091_o;
- wire _al_u4093_o;
- wire _al_u4094_o;
- wire _al_u4095_o;
- wire _al_u4096_o;
- wire _al_u4097_o;
- wire _al_u4098_o;
- wire _al_u4099_o;
- wire _al_u4100_o;
- wire _al_u4102_o;
- wire _al_u4103_o;
- wire _al_u4105_o;
- wire _al_u4106_o;
- wire _al_u4107_o;
- wire _al_u4108_o;
- wire _al_u410_o;
- wire _al_u4110_o;
- wire _al_u4111_o;
- wire _al_u4112_o;
- wire _al_u4113_o;
- wire _al_u4114_o;
- wire _al_u4115_o;
- wire _al_u4117_o;
- wire _al_u4118_o;
- wire _al_u4119_o;
- wire _al_u411_o;
- wire _al_u4121_o;
- wire _al_u4122_o;
- wire _al_u4123_o;
- wire _al_u4124_o;
- wire _al_u4125_o;
- wire _al_u4126_o;
- wire _al_u4127_o;
- wire _al_u4129_o;
- wire _al_u4130_o;
- wire _al_u4131_o;
- wire _al_u4134_o;
- wire _al_u4135_o;
- wire _al_u4136_o;
- wire _al_u4137_o;
- wire _al_u4138_o;
- wire _al_u4139_o;
- wire _al_u4140_o;
- wire _al_u4141_o;
- wire _al_u4144_o;
- wire _al_u4145_o;
- wire _al_u4146_o;
- wire _al_u4148_o;
- wire _al_u4149_o;
- wire _al_u4151_o;
- wire _al_u4152_o;
- wire _al_u4153_o;
- wire _al_u4154_o;
- wire _al_u4156_o;
- wire _al_u4157_o;
- wire _al_u4158_o;
- wire _al_u4159_o;
- wire _al_u4161_o;
- wire _al_u4162_o;
- wire _al_u4163_o;
- wire _al_u4164_o;
- wire _al_u4166_o;
- wire _al_u4167_o;
- wire _al_u4169_o;
- wire _al_u4170_o;
- wire _al_u4171_o;
- wire _al_u4172_o;
- wire _al_u4174_o;
- wire _al_u4175_o;
- wire _al_u4178_o;
- wire _al_u4179_o;
- wire _al_u4180_o;
- wire _al_u4182_o;
- wire _al_u4184_o;
- wire _al_u4185_o;
- wire _al_u4186_o;
- wire _al_u4188_o;
- wire _al_u4191_o;
- wire _al_u4192_o;
- wire _al_u4193_o;
- wire _al_u4194_o;
- wire _al_u4196_o;
- wire _al_u4197_o;
- wire _al_u4198_o;
- wire _al_u4201_o;
- wire _al_u4202_o;
- wire _al_u4203_o;
- wire _al_u4204_o;
- wire _al_u4205_o;
- wire _al_u4207_o;
- wire _al_u4209_o;
- wire _al_u4210_o;
- wire _al_u4211_o;
- wire _al_u4212_o;
- wire _al_u4213_o;
- wire _al_u4214_o;
- wire _al_u4215_o;
- wire _al_u4216_o;
- wire _al_u4217_o;
- wire _al_u4218_o;
- wire _al_u4219_o;
- wire _al_u4220_o;
- wire _al_u4222_o;
- wire _al_u4223_o;
- wire _al_u4224_o;
- wire _al_u4225_o;
- wire _al_u4228_o;
- wire _al_u4229_o;
- wire _al_u4230_o;
- wire _al_u4231_o;
- wire _al_u4232_o;
- wire _al_u4233_o;
- wire _al_u4234_o;
- wire _al_u4236_o;
- wire _al_u4237_o;
- wire _al_u4238_o;
- wire _al_u4239_o;
- wire _al_u4240_o;
- wire _al_u4243_o;
- wire _al_u4244_o;
- wire _al_u4245_o;
- wire _al_u4247_o;
- wire _al_u4249_o;
- wire _al_u4250_o;
- wire _al_u4251_o;
- wire _al_u4252_o;
- wire _al_u4253_o;
- wire _al_u4254_o;
- wire _al_u4255_o;
- wire _al_u4257_o;
- wire _al_u4260_o;
- wire _al_u4261_o;
- wire _al_u4262_o;
- wire _al_u4263_o;
- wire _al_u4265_o;
- wire _al_u4266_o;
- wire _al_u4267_o;
- wire _al_u4268_o;
- wire _al_u4269_o;
- wire _al_u4272_o;
- wire _al_u4273_o;
- wire _al_u4276_o;
- wire _al_u4278_o;
- wire _al_u4279_o;
- wire _al_u427_o;
- wire _al_u4280_o;
- wire _al_u4281_o;
- wire _al_u4282_o;
- wire _al_u4283_o;
- wire _al_u4284_o;
- wire _al_u4285_o;
- wire _al_u4286_o;
- wire _al_u4287_o;
- wire _al_u4288_o;
- wire _al_u4289_o;
- wire _al_u428_o;
- wire _al_u4290_o;
- wire _al_u4292_o;
- wire _al_u4295_o;
- wire _al_u4296_o;
- wire _al_u4297_o;
- wire _al_u4298_o;
- wire _al_u4299_o;
- wire _al_u429_o;
- wire _al_u4300_o;
- wire _al_u4301_o;
- wire _al_u4302_o;
- wire _al_u4303_o;
- wire _al_u4304_o;
- wire _al_u4305_o;
- wire _al_u4306_o;
- wire _al_u4307_o;
- wire _al_u4308_o;
- wire _al_u4309_o;
- wire _al_u430_o;
- wire _al_u4310_o;
- wire _al_u4312_o;
- wire _al_u4313_o;
- wire _al_u4314_o;
- wire _al_u4315_o;
- wire _al_u4316_o;
- wire _al_u4319_o;
- wire _al_u4321_o;
- wire _al_u4323_o;
- wire _al_u4325_o;
- wire _al_u4326_o;
- wire _al_u4327_o;
- wire _al_u4328_o;
- wire _al_u4329_o;
- wire _al_u4330_o;
- wire _al_u4331_o;
- wire _al_u4332_o;
- wire _al_u4333_o;
- wire _al_u4334_o;
- wire _al_u4335_o;
- wire _al_u4336_o;
- wire _al_u4337_o;
- wire _al_u4338_o;
- wire _al_u4339_o;
- wire _al_u433_o;
- wire _al_u4340_o;
- wire _al_u4344_o;
- wire _al_u4349_o;
- wire _al_u434_o;
- wire _al_u4351_o;
- wire _al_u4352_o;
- wire _al_u4354_o;
- wire _al_u4355_o;
- wire _al_u4357_o;
- wire _al_u4359_o;
- wire _al_u435_o;
- wire _al_u4361_o;
- wire _al_u4362_o;
- wire _al_u4363_o;
- wire _al_u4364_o;
- wire _al_u4365_o;
- wire _al_u4366_o;
- wire _al_u4367_o;
- wire _al_u4368_o;
- wire _al_u4369_o;
- wire _al_u436_o;
- wire _al_u4370_o;
- wire _al_u4372_o;
- wire _al_u4373_o;
- wire _al_u4377_o;
- wire _al_u4379_o;
- wire _al_u4381_o;
- wire _al_u4382_o;
- wire _al_u4384_o;
- wire _al_u4386_o;
- wire _al_u4387_o;
- wire _al_u4389_o;
- wire _al_u4390_o;
- wire _al_u4391_o;
- wire _al_u4392_o;
- wire _al_u4393_o;
- wire _al_u4395_o;
- wire _al_u4397_o;
- wire _al_u4399_o;
- wire _al_u439_o;
- wire _al_u4401_o;
- wire _al_u4403_o;
- wire _al_u4405_o;
- wire _al_u4407_o;
- wire _al_u4409_o;
- wire _al_u4411_o;
- wire _al_u4412_o;
- wire _al_u4413_o;
- wire _al_u4414_o;
- wire _al_u4415_o;
- wire _al_u4416_o;
- wire _al_u4417_o;
- wire _al_u4418_o;
- wire _al_u4419_o;
- wire _al_u4420_o;
- wire _al_u4422_o;
- wire _al_u4423_o;
- wire _al_u4424_o;
- wire _al_u4425_o;
- wire _al_u4426_o;
- wire _al_u4428_o;
- wire _al_u442_o;
- wire _al_u4431_o;
- wire _al_u4432_o;
- wire _al_u4434_o;
- wire _al_u4436_o;
- wire _al_u4437_o;
- wire _al_u4438_o;
- wire _al_u4439_o;
- wire _al_u4442_o;
- wire _al_u4443_o;
- wire _al_u4444_o;
- wire _al_u4445_o;
- wire _al_u4447_o;
- wire _al_u4449_o;
- wire _al_u4451_o;
- wire _al_u4452_o;
- wire _al_u4453_o;
- wire _al_u4454_o;
- wire _al_u4455_o;
- wire _al_u4456_o;
- wire _al_u4457_o;
- wire _al_u4458_o;
- wire _al_u4459_o;
- wire _al_u445_o;
- wire _al_u4460_o;
- wire _al_u4462_o;
- wire _al_u4464_o;
- wire _al_u4465_o;
- wire _al_u4466_o;
- wire _al_u4469_o;
- wire _al_u446_o;
- wire _al_u4471_o;
- wire _al_u4472_o;
- wire _al_u4473_o;
- wire _al_u4474_o;
- wire _al_u4477_o;
- wire _al_u4479_o;
- wire _al_u447_o;
- wire _al_u4480_o;
- wire _al_u4481_o;
- wire _al_u4483_o;
- wire _al_u4484_o;
- wire _al_u4486_o;
- wire _al_u4487_o;
- wire _al_u4488_o;
- wire _al_u448_o;
- wire _al_u4490_o;
- wire _al_u4492_o;
- wire _al_u4494_o;
- wire _al_u4495_o;
- wire _al_u4497_o;
- wire _al_u4501_o;
- wire _al_u4503_o;
- wire _al_u4504_o;
- wire _al_u4506_o;
- wire _al_u4508_o;
- wire _al_u4509_o;
- wire _al_u4513_o;
- wire _al_u4514_o;
- wire _al_u4515_o;
- wire _al_u4516_o;
- wire _al_u4518_o;
- wire _al_u451_o;
- wire _al_u4521_o;
- wire _al_u4523_o;
- wire _al_u4525_o;
- wire _al_u4526_o;
- wire _al_u4527_o;
- wire _al_u4528_o;
- wire _al_u4530_o;
- wire _al_u4533_o;
- wire _al_u4535_o;
- wire _al_u4537_o;
- wire _al_u4538_o;
- wire _al_u4539_o;
- wire _al_u453_o;
- wire _al_u4540_o;
- wire _al_u4542_o;
- wire _al_u4543_o;
- wire _al_u4544_o;
- wire _al_u4545_o;
- wire _al_u4546_o;
- wire _al_u4547_o;
- wire _al_u4548_o;
- wire _al_u4550_o;
- wire _al_u4551_o;
- wire _al_u4552_o;
- wire _al_u4555_o;
- wire _al_u4556_o;
- wire _al_u4557_o;
- wire _al_u4558_o;
- wire _al_u4560_o;
- wire _al_u4562_o;
- wire _al_u4564_o;
- wire _al_u4565_o;
- wire _al_u4566_o;
- wire _al_u4568_o;
- wire _al_u4569_o;
- wire _al_u4571_o;
- wire _al_u4572_o;
- wire _al_u4573_o;
- wire _al_u4576_o;
- wire _al_u4577_o;
- wire _al_u4578_o;
- wire _al_u457_o;
- wire _al_u4580_o;
- wire _al_u4581_o;
- wire _al_u4583_o;
- wire _al_u4584_o;
- wire _al_u4585_o;
- wire _al_u4588_o;
- wire _al_u458_o;
- wire _al_u4590_o;
- wire _al_u4591_o;
- wire _al_u4592_o;
- wire _al_u4593_o;
- wire _al_u4595_o;
- wire _al_u4596_o;
- wire _al_u4597_o;
- wire _al_u459_o;
- wire _al_u4600_o;
- wire _al_u4601_o;
- wire _al_u4602_o;
- wire _al_u4603_o;
- wire _al_u4605_o;
- wire _al_u4607_o;
- wire _al_u4609_o;
- wire _al_u4610_o;
- wire _al_u4611_o;
- wire _al_u4613_o;
- wire _al_u4614_o;
- wire _al_u4616_o;
- wire _al_u4617_o;
- wire _al_u4620_o;
- wire _al_u4622_o;
- wire _al_u4623_o;
- wire _al_u4625_o;
- wire _al_u4626_o;
- wire _al_u4627_o;
- wire _al_u4629_o;
- wire _al_u4631_o;
- wire _al_u4633_o;
- wire _al_u4634_o;
- wire _al_u4635_o;
- wire _al_u4636_o;
- wire _al_u4638_o;
- wire _al_u463_o;
- wire _al_u4640_o;
- wire _al_u4641_o;
- wire _al_u4643_o;
- wire _al_u4644_o;
- wire _al_u4646_o;
- wire _al_u4647_o;
- wire _al_u4649_o;
- wire _al_u464_o;
- wire _al_u4650_o;
- wire _al_u4652_o;
- wire _al_u4653_o;
- wire _al_u4655_o;
- wire _al_u4656_o;
- wire _al_u4658_o;
- wire _al_u4659_o;
- wire _al_u465_o;
- wire _al_u4661_o;
- wire _al_u4662_o;
- wire _al_u4664_o;
- wire _al_u4665_o;
- wire _al_u4667_o;
- wire _al_u4669_o;
- wire _al_u466_o;
- wire _al_u4670_o;
- wire _al_u4671_o;
- wire _al_u4673_o;
- wire _al_u4674_o;
- wire _al_u4675_o;
- wire _al_u4677_o;
- wire _al_u4678_o;
- wire _al_u4680_o;
- wire _al_u4681_o;
- wire _al_u4683_o;
- wire _al_u4684_o;
- wire _al_u4685_o;
- wire _al_u4687_o;
- wire _al_u4688_o;
- wire _al_u4690_o;
- wire _al_u4691_o;
- wire _al_u4693_o;
- wire _al_u4694_o;
- wire _al_u4695_o;
- wire _al_u4696_o;
- wire _al_u4698_o;
- wire _al_u469_o;
- wire _al_u4700_o;
- wire _al_u4702_o;
- wire _al_u4704_o;
- wire _al_u4706_o;
- wire _al_u4708_o;
- wire _al_u4709_o;
- wire _al_u4712_o;
- wire _al_u4714_o;
- wire _al_u4715_o;
- wire _al_u4717_o;
- wire _al_u4718_o;
- wire _al_u471_o;
- wire _al_u4720_o;
- wire _al_u4721_o;
- wire _al_u4723_o;
- wire _al_u4724_o;
- wire _al_u4727_o;
- wire _al_u4729_o;
- wire _al_u4730_o;
- wire _al_u4733_o;
- wire _al_u4736_o;
- wire _al_u4739_o;
- wire _al_u4741_o;
- wire _al_u4742_o;
- wire _al_u4745_o;
- wire _al_u4748_o;
- wire _al_u4751_o;
- wire _al_u4754_o;
- wire _al_u4756_o;
- wire _al_u4757_o;
- wire _al_u4759_o;
- wire _al_u475_o;
- wire _al_u4760_o;
- wire _al_u4762_o;
- wire _al_u4764_o;
- wire _al_u4765_o;
- wire _al_u4768_o;
- wire _al_u476_o;
- wire _al_u4771_o;
- wire _al_u4773_o;
- wire _al_u4774_o;
- wire _al_u4776_o;
- wire _al_u4777_o;
- wire _al_u4779_o;
- wire _al_u477_o;
- wire _al_u4780_o;
- wire _al_u4783_o;
- wire _al_u4785_o;
- wire _al_u4786_o;
- wire _al_u4788_o;
- wire _al_u4789_o;
- wire _al_u478_o;
- wire _al_u4790_o;
- wire _al_u482_o;
- wire _al_u484_o;
- wire _al_u487_o;
- wire _al_u488_o;
- wire _al_u489_o;
- wire _al_u490_o;
- wire _al_u493_o;
- wire _al_u494_o;
- wire _al_u495_o;
- wire _al_u499_o;
- wire _al_u500_o;
- wire _al_u501_o;
- wire _al_u502_o;
- wire _al_u506_o;
- wire _al_u507_o;
- wire _al_u508_o;
- wire _al_u511_o;
- wire _al_u512_o;
- wire _al_u513_o;
- wire _al_u514_o;
- wire _al_u517_o;
- wire _al_u518_o;
- wire _al_u519_o;
- wire _al_u520_o;
- wire _al_u523_o;
- wire _al_u524_o;
- wire _al_u525_o;
- wire _al_u526_o;
- wire _al_u530_o;
- wire _al_u531_o;
- wire _al_u532_o;
- wire _al_u535_o;
- wire _al_u537_o;
- wire _al_u538_o;
- wire _al_u53_o;
- wire _al_u541_o;
- wire _al_u542_o;
- wire _al_u543_o;
- wire _al_u544_o;
- wire _al_u547_o;
- wire _al_u548_o;
- wire _al_u549_o;
- wire _al_u550_o;
- wire _al_u553_o;
- wire _al_u554_o;
- wire _al_u555_o;
- wire _al_u556_o;
- wire _al_u559_o;
- wire _al_u561_o;
- wire _al_u565_o;
- wire _al_u566_o;
- wire _al_u568_o;
- wire _al_u571_o;
- wire _al_u572_o;
- wire _al_u574_o;
- wire _al_u577_o;
- wire _al_u578_o;
- wire _al_u579_o;
- wire _al_u580_o;
- wire _al_u584_o;
- wire _al_u585_o;
- wire _al_u586_o;
- wire _al_u589_o;
- wire _al_u590_o;
- wire _al_u591_o;
- wire _al_u592_o;
- wire _al_u596_o;
- wire _al_u598_o;
- wire _al_u601_o;
- wire _al_u602_o;
- wire _al_u603_o;
- wire _al_u604_o;
- wire _al_u607_o;
- wire _al_u608_o;
- wire _al_u609_o;
- wire _al_u610_o;
- wire _al_u614_o;
- wire _al_u615_o;
- wire _al_u616_o;
- wire _al_u620_o;
- wire _al_u625_o;
- wire _al_u627_o;
- wire _al_u629_o;
- wire _al_u630_o;
- wire _al_u631_o;
- wire _al_u632_o;
- wire _al_u638_o;
- wire _al_u640_o;
- wire _al_u642_o;
- wire _al_u643_o;
- wire _al_u648_o;
- wire _al_u651_o;
- wire _al_u653_o;
- wire _al_u654_o;
- wire _al_u655_o;
- wire _al_u658_o;
- wire _al_u659_o;
- wire _al_u660_o;
- wire _al_u665_o;
- wire _al_u666_o;
- wire _al_u667_o;
- wire _al_u669_o;
- wire _al_u670_o;
- wire _al_u672_o;
- wire _al_u675_o;
- wire _al_u684_o;
- wire _al_u685_o;
- wire _al_u686_o;
- wire _al_u687_o;
- wire _al_u688_o;
- wire _al_u689_o;
- wire _al_u690_o;
- wire _al_u691_o;
- wire _al_u692_o;
- wire _al_u694_o;
- wire _al_u695_o;
- wire _al_u696_o;
- wire _al_u697_o;
- wire _al_u704_o;
- wire _al_u705_o;
- wire _al_u709_o;
- wire _al_u711_o;
- wire _al_u712_o;
- wire _al_u713_o;
- wire _al_u716_o;
- wire _al_u717_o;
- wire _al_u718_o;
- wire _al_u719_o;
- wire _al_u720_o;
- wire _al_u721_o;
- wire _al_u723_o;
- wire _al_u724_o;
- wire _al_u725_o;
- wire _al_u726_o;
- wire _al_u727_o;
- wire _al_u728_o;
- wire _al_u730_o;
- wire _al_u732_o;
- wire _al_u734_o;
- wire _al_u736_o;
- wire _al_u738_o;
- wire _al_u739_o;
- wire _al_u740_o;
- wire _al_u741_o;
- wire _al_u742_o;
- wire _al_u743_o;
- wire _al_u744_o;
- wire _al_u745_o;
- wire _al_u747_o;
- wire _al_u748_o;
- wire _al_u749_o;
- wire _al_u750_o;
- wire _al_u751_o;
- wire _al_u752_o;
- wire _al_u754_o;
- wire _al_u755_o;
- wire _al_u756_o;
- wire _al_u757_o;
- wire _al_u758_o;
- wire _al_u759_o;
- wire _al_u761_o;
- wire _al_u762_o;
- wire _al_u763_o;
- wire _al_u765_o;
- wire _al_u766_o;
- wire _al_u768_o;
- wire _al_u769_o;
- wire _al_u770_o;
- wire _al_u771_o;
- wire _al_u772_o;
- wire _al_u773_o;
- wire _al_u775_o;
- wire _al_u776_o;
- wire _al_u777_o;
- wire _al_u778_o;
- wire _al_u779_o;
- wire _al_u780_o;
- wire _al_u782_o;
- wire _al_u783_o;
- wire _al_u784_o;
- wire _al_u785_o;
- wire _al_u786_o;
- wire _al_u787_o;
- wire _al_u789_o;
- wire _al_u790_o;
- wire _al_u791_o;
- wire _al_u792_o;
- wire _al_u793_o;
- wire _al_u794_o;
- wire _al_u796_o;
- wire _al_u797_o;
- wire _al_u798_o;
- wire _al_u799_o;
- wire _al_u800_o;
- wire _al_u801_o;
- wire _al_u803_o;
- wire _al_u804_o;
- wire _al_u805_o;
- wire _al_u806_o;
- wire _al_u807_o;
- wire _al_u808_o;
- wire _al_u810_o;
- wire _al_u811_o;
- wire _al_u812_o;
- wire _al_u813_o;
- wire _al_u814_o;
- wire _al_u815_o;
- wire _al_u817_o;
- wire _al_u818_o;
- wire _al_u819_o;
- wire _al_u821_o;
- wire _al_u822_o;
- wire _al_u824_o;
- wire _al_u825_o;
- wire _al_u826_o;
- wire _al_u828_o;
- wire _al_u829_o;
- wire _al_u832_o;
- wire _al_u833_o;
- wire _al_u835_o;
- wire _al_u836_o;
- wire _al_u838_o;
- wire _al_u839_o;
- wire _al_u840_o;
- wire _al_u841_o;
- wire _al_u842_o;
- wire _al_u843_o;
- wire _al_u845_o;
- wire _al_u846_o;
- wire _al_u847_o;
- wire _al_u848_o;
- wire _al_u849_o;
- wire _al_u850_o;
- wire _al_u852_o;
- wire _al_u853_o;
- wire _al_u854_o;
- wire _al_u855_o;
- wire _al_u856_o;
- wire _al_u857_o;
- wire _al_u859_o;
- wire _al_u860_o;
- wire _al_u861_o;
- wire _al_u862_o;
- wire _al_u863_o;
- wire _al_u864_o;
- wire _al_u866_o;
- wire _al_u867_o;
- wire _al_u868_o;
- wire _al_u869_o;
- wire _al_u870_o;
- wire _al_u871_o;
- wire _al_u873_o;
- wire _al_u874_o;
- wire _al_u875_o;
- wire _al_u876_o;
- wire _al_u877_o;
- wire _al_u878_o;
- wire _al_u880_o;
- wire _al_u881_o;
- wire _al_u882_o;
- wire _al_u883_o;
- wire _al_u884_o;
- wire _al_u885_o;
- wire _al_u887_o;
- wire _al_u888_o;
- wire _al_u889_o;
- wire _al_u890_o;
- wire _al_u891_o;
- wire _al_u894_o;
- wire _al_u895_o;
- wire _al_u896_o;
- wire _al_u897_o;
- wire _al_u898_o;
- wire _al_u899_o;
- wire _al_u901_o;
- wire _al_u902_o;
- wire _al_u903_o;
- wire _al_u904_o;
- wire _al_u905_o;
- wire _al_u907_o;
- wire _al_u909_o;
- wire _al_u910_o;
- wire _al_u911_o;
- wire _al_u913_o;
- wire _al_u914_o;
- wire _al_u916_o;
- wire _al_u917_o;
- wire _al_u918_o;
- wire _al_u920_o;
- wire _al_u921_o;
- wire _al_u923_o;
- wire _al_u924_o;
- wire _al_u925_o;
- wire _al_u926_o;
- wire _al_u927_o;
- wire _al_u928_o;
- wire _al_u930_o;
- wire _al_u931_o;
- wire _al_u932_o;
- wire _al_u934_o;
- wire _al_u935_o;
- wire _al_u937_o;
- wire _al_u938_o;
- wire _al_u939_o;
- wire _al_u940_o;
- wire _al_u941_o;
- wire _al_u942_o;
- wire _al_u944_o;
- wire _al_u945_o;
- wire _al_u946_o;
- wire _al_u947_o;
- wire _al_u948_o;
- wire _al_u949_o;
- wire _al_u951_o;
- wire _al_u954_o;
- wire _al_u956_o;
- wire _al_u957_o;
- wire _al_u958_o;
- wire _al_u959_o;
- wire _al_u960_o;
- wire _al_u961_o;
- wire _al_u971_o;
- wire _al_u972_o;
- wire _al_u973_o;
- wire _al_u976_o;
- wire _al_u977_o;
- wire _al_u979_o;
- wire _al_u981_o;
- wire _al_u983_o;
- wire _al_u985_o;
- wire _al_u987_o;
- wire _al_u989_o;
- wire _al_u993_o;
- wire _al_u995_o;
- wire _al_u997_o;
- wire \add0/c1 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
- wire \add0/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
- wire \add0/c5 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
- wire \add0/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
- wire \add0/c9 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
- wire \add1/c11 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
- wire \add1/c15 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
- wire \add1/c19 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
- wire \add1/c23 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
- wire \add1/c27 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
- wire \add1/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
- wire \add1/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
- wire \add2/c11 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
- wire \add2/c15 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
- wire \add2/c19 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
- wire \add2/c23 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
- wire \add2/c27 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
- wire \add2/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
- wire \add2/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
- wire \add3_add4/c11 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
- wire \add3_add4/c15 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
- wire \add3_add4/c19 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
- wire \add3_add4/c23 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
- wire \add3_add4/c27 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
- wire \add3_add4/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
- wire \add3_add4/c31 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
- wire \add3_add4/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
- wire \eq0/xor_i0[14]_i1[14]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3110)
- wire \eq0/xor_i0[22]_i1[22]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3110)
- wire \eq0/xor_i0[31]_i1[31]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3110)
- wire \eq0/xor_i0[4]_i1[4]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3110)
- wire \eq0/xor_i0[9]_i1[9]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3110)
- wire \eq1/xor_i0[11]_i1[11]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
- wire \eq1/xor_i0[13]_i1[13]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
- wire \eq1/xor_i0[17]_i1[17]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
- wire \eq1/xor_i0[1]_i1[1]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
- wire \eq1/xor_i0[23]_i1[23]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
- wire \eq1/xor_i0[24]_i1[24]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
- wire \eq1/xor_i0[25]_i1[25]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
- wire \eq1/xor_i0[31]_i1[31]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
- wire \eq1/xor_i0[3]_i1[3]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
- wire \eq1/xor_i0[6]_i1[6]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
- wire mult0_0_0_0;
- wire mult0_0_0_1;
- wire mult0_0_0_10;
- wire mult0_0_0_11;
- wire mult0_0_0_12;
- wire mult0_0_0_13;
- wire mult0_0_0_14;
- wire mult0_0_0_15;
- wire mult0_0_0_16;
- wire mult0_0_0_17;
- wire mult0_0_0_18;
- wire mult0_0_0_19;
- wire mult0_0_0_2;
- wire mult0_0_0_20;
- wire mult0_0_0_21;
- wire mult0_0_0_22;
- wire mult0_0_0_23;
- wire mult0_0_0_24;
- wire mult0_0_0_25;
- wire mult0_0_0_26;
- wire mult0_0_0_27;
- wire mult0_0_0_28;
- wire mult0_0_0_29;
- wire mult0_0_0_3;
- wire mult0_0_0_30;
- wire mult0_0_0_31;
- wire mult0_0_0_4;
- wire mult0_0_0_5;
- wire mult0_0_0_6;
- wire mult0_0_0_7;
- wire mult0_0_0_8;
- wire mult0_0_0_9;
- wire mult0_0_1_0;
- wire mult0_0_1_1;
- wire mult0_0_1_10;
- wire mult0_0_1_11;
- wire mult0_0_1_12;
- wire mult0_0_1_13;
- wire mult0_0_1_2;
- wire mult0_0_1_3;
- wire mult0_0_1_4;
- wire mult0_0_1_5;
- wire mult0_0_1_6;
- wire mult0_0_1_7;
- wire mult0_0_1_8;
- wire mult0_0_1_9;
- wire mult0_1_0_0;
- wire mult0_1_0_1;
- wire mult0_1_0_10;
- wire mult0_1_0_11;
- wire mult0_1_0_12;
- wire mult0_1_0_13;
- wire mult0_1_0_2;
- wire mult0_1_0_3;
- wire mult0_1_0_4;
- wire mult0_1_0_5;
- wire mult0_1_0_6;
- wire mult0_1_0_7;
- wire mult0_1_0_8;
- wire mult0_1_0_9;
- wire n1008;
- wire n1009;
- wire n1110;
- wire n1111;
- wire n1112;
- wire n1113;
- wire n1114;
- wire n1115;
- wire n1116;
- wire n1199;
- wire n1200;
- wire n1360;
- wire n1481;
- wire n1568;
- wire n1571;
- wire n1573;
- wire n1577;
- wire n1580;
- wire n274;
- wire n276;
- wire n3178;
- wire n327;
- wire n332;
- wire n3472;
- wire n3708;
- wire n3724;
- wire n3767;
- wire n394;
- wire n4330;
- wire n524;
- wire n525;
- wire n526;
- wire n527;
- wire n528;
- wire n529;
- wire n530;
- wire n531;
- wire n532;
- wire n533;
- wire n5754;
- wire n602;
- wire n6103_lutinv;
- wire n6121_lutinv;
- wire n6123_lutinv;
- wire n6142_lutinv;
- wire n6147_lutinv;
- wire n6149_lutinv;
- wire n987;
- wire \sub0/c1 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
- wire \sub0/c11 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
- wire \sub0/c13 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
- wire \sub0/c15 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
- wire \sub0/c17 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
- wire \sub0/c19 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
- wire \sub0/c21 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
- wire \sub0/c23 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
- wire \sub0/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
- wire \sub0/c5 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
- wire \sub0/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
- wire \sub0/c9 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
- wire \sub1/c1 ; // ../rtl/topmodule/cortexm0ds_logic.v(3151)
- wire \sub1/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3151)
- wire \sub1/c5 ; // ../rtl/topmodule/cortexm0ds_logic.v(3151)
- wire \sub1/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3151)
- wire \u1/c1 ;
- wire \u1/c11 ;
- wire \u1/c13 ;
- wire \u1/c3 ;
- wire \u1/c5 ;
- wire \u1/c7 ;
- wire \u1/c9 ;
- wire \u2/c1 ;
- wire \u2/c11 ;
- wire \u2/c13 ;
- wire \u2/c3 ;
- wire \u2/c5 ;
- wire \u2/c7 ;
- wire \u2/c9 ;
-
- assign HBURST[2] = 1'b0;
- assign HBURST[1] = 1'b0;
- assign HBURST[0] = 1'b0;
- assign HMASTLOCK = 1'b0;
- assign HPROT[1] = 1'b1;
- assign HSIZE[2] = 1'b0;
- assign HTRANS[0] = 1'b0;
- assign TDO = 1'b0;
- assign WAKEUP = 1'b0;
- assign WICENACK = 1'b0;
- assign WICSENSE[33] = 1'b0;
- assign WICSENSE[32] = 1'b0;
- assign WICSENSE[31] = 1'b0;
- assign WICSENSE[30] = 1'b0;
- assign WICSENSE[29] = 1'b0;
- assign WICSENSE[28] = 1'b0;
- assign WICSENSE[27] = 1'b0;
- assign WICSENSE[26] = 1'b0;
- assign WICSENSE[25] = 1'b0;
- assign WICSENSE[24] = 1'b0;
- assign WICSENSE[23] = 1'b0;
- assign WICSENSE[22] = 1'b0;
- assign WICSENSE[21] = 1'b0;
- assign WICSENSE[20] = 1'b0;
- assign WICSENSE[19] = 1'b0;
- assign WICSENSE[18] = 1'b0;
- assign WICSENSE[17] = 1'b0;
- assign WICSENSE[16] = 1'b0;
- assign WICSENSE[15] = 1'b0;
- assign WICSENSE[14] = 1'b0;
- assign WICSENSE[13] = 1'b0;
- assign WICSENSE[12] = 1'b0;
- assign WICSENSE[11] = 1'b0;
- assign WICSENSE[10] = 1'b0;
- assign WICSENSE[9] = 1'b0;
- assign WICSENSE[8] = 1'b0;
- assign WICSENSE[7] = 1'b0;
- assign WICSENSE[6] = 1'b0;
- assign WICSENSE[5] = 1'b0;
- assign WICSENSE[4] = 1'b0;
- assign WICSENSE[3] = 1'b0;
- assign WICSENSE[2] = 1'b0;
- assign WICSENSE[1] = 1'b0;
- assign WICSENSE[0] = 1'b0;
- assign nTDOEN = 1'b0;
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- A1qax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_r2_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(18823)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- A2spw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_r1_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(17639)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- A32qw6_reg (
- .clk(HCLK),
- .d(Fpohu6),
- .sr(HRESETn),
- .q(vis_pc_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(17958)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- A3qax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_r2_o[31])); // ../rtl/topmodule/cortexm0ds_logic.v(18824)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- A5ipw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Nrxhu6),
- .sr(Kxhpw6),
- .q(A5ipw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17182)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- A5qax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Tx8iu6),
- .q(vis_r2_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18825)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- A6cbx6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(J4cbx6),
- .q(A6cbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19945)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- A7zpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_psp_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(17899)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Aa2bx6_reg (
- .clk(SCLK),
- .d(C5phu6),
- .sr(HRESETn),
- .q(Aa2bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19398)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ab9ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(U64iu6),
- .q(Ab9ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18163)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Acebx6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(I74iu6),
- .q(Acebx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19991)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Acuax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_r4_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(18901)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ad7ax6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(S54iu6),
- .q(Ad7ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18091)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ahdax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(Ud4iu6),
- .q(Ahdax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18289)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ahdbx6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Jfdbx6),
- .q(Ahdbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19975)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ahlpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Zehpw6[6]),
- .sr(Kxhpw6),
- .q(Ahlpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17359)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Amupw6_reg (
- .clk(SCLK),
- .d(Iauhu6),
- .q(Amupw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17710)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Aniax6_reg (
- .clk(HCLK),
- .d(G1vhu6),
- .sr(HRESETn),
- .q(vis_ipsr_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(18610)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Aoeax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(Z54iu6),
- .q(Aoeax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18317)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Apcax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(K84iu6),
- .q(Apcax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18269)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Aqlax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_r10_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(18745)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ar1bx6_reg (
- .clk(SCLK),
- .d(Vruhu6),
- .sr(HRESETn),
- .q(Ar1bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19344)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Arnpw6_reg (
- .ce(Y5liu6),
- .clk(HCLK),
- .d(Rgoiu6),
- .sr(HRESETn),
- .q(vis_apsr_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(17472)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Asupw6_reg (
- .clk(HCLK),
- .d(Hfshu6),
- .q(Asupw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17718)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Aujpw6_reg (
- .clk(HCLK),
- .d(Axohu6),
- .sr(HRESETn),
- .q(Aujpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17268)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Aurpw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_r5_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(17630)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Auyax6_reg (
- .ce(n1116),
- .clk(HCLK),
- .d(HWDATA[23]),
- .sr(HRESETn),
- .q(Auyax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19038)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Avzax6_reg (
- .ce(n1008),
- .clk(HCLK),
- .d(I4eiu6),
- .sr(HRESETn),
- .q(Avzax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19146)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Aw4bx6_reg (
- .ce(n1110),
- .clk(HCLK),
- .d(HWDATA[30]),
- .sr(HRESETn),
- .q(Aw4bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19668)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Awupw6_reg (
- .clk(HCLK),
- .d(Xrohu6),
- .sr(HRESETn),
- .q(vis_pc_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(17726)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Az3bx6_reg (
- .ce(n1111),
- .clk(HCLK),
- .d(Fsdiu6),
- .sr(HRESETn),
- .q(Az3bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19572)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Azpax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_r2_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18822)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- B0spw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_r0_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(17638)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- B3gbx6_reg (
- .clk(HCLK),
- .d(Bvuhu6),
- .sr(HRESETn),
- .q(B3gbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20034)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- B4uax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_r4_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18897)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- B5zpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_psp_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(17898)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- B6uax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_r4_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(18898)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- B79bx6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(Q44iu6),
- .q(B79bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19810)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- B7lpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Fwohu6),
- .sr(Kxhpw6),
- .q(B7lpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17328)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- B8uax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_r4_o[31])); // ../rtl/topmodule/cortexm0ds_logic.v(18899)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- B9eax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(Df4iu6),
- .q(B9eax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18304)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- B9jbx6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(Ym4iu6),
- .q(B9jbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20186)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bauax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Tx8iu6),
- .q(vis_r4_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18900)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bbjpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_r11_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(17232)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Bc3bx6_reg (
- .clk(SCLK),
- .d(Qyohu6),
- .sr(HRESETn),
- .q(Bc3bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19506)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Bcabx6_reg (
- .ce(n1116),
- .clk(HCLK),
- .d(HWDATA[6]),
- .sr(HRESETn),
- .q(Bcabx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19882)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bccax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(Gk4iu6),
- .q(Bccax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18257)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bcdbx6_reg (
- .clk(SWCLKTCK_pad),
- .d(Pzxhu6),
- .q(Bcdbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19972)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bcgax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(Lm1iu6),
- .q(Bcgax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18404)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Bciax6_reg (
- .clk(SCLK),
- .d(P2vhu6),
- .sr(HRESETn),
- .q(Bciax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18574)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Bclpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Zehpw6[0]),
- .sr(Kxhpw6),
- .q(Bclpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17341)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bdjpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_psp_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(17233)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bf3qw6_reg (
- .clk(DCLK),
- .d(P7xhu6),
- .q(Bf3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18033)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Bfjpw6_reg (
- .ce(Y5liu6),
- .clk(HCLK),
- .d(R5liu6),
- .sr(HRESETn),
- .q(vis_apsr_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(17235)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Biaax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(X44iu6),
- .q(Biaax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18186)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bk7ax6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Li7ax6),
- .q(Bk7ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18100)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bngax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(F94iu6),
- .q(Bngax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18410)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bolax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_r10_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(18744)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bp2qw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Kn2qw6),
- .q(Bp2qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17999)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bq9ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(Gk4iu6),
- .q(Bq9ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18171)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bsrpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_r11_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(17629)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bt2qw6_reg (
- .ce(Tu4iu6),
- .clk(DCLK),
- .d(Df4iu6),
- .q(Bt2qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18006)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Btbbx6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(G64iu6),
- .q(Btbbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19938)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bu6bx6_reg (
- .clk(DCLK),
- .d(Vbphu6),
- .q(Bu6bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19762)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Buabx6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(L54iu6),
- .q(Buabx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19895)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bvaax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(Ud4iu6),
- .q(Bvaax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18193)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bvfbx6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(P74iu6),
- .q(Bvfbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20019)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bwdax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(E54iu6),
- .q(Bwdax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18297)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bx2qw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Bsxhu6),
- .q(Bx2qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18008)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bxbax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(U64iu6),
- .q(Bxbax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18249)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Bxpax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_r2_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(18821)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- C07bx6_reg (
- .clk(HCLK),
- .d(V3qhu6),
- .q(C07bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19765)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- C10bx6_reg (
- .clk(HCLK),
- .d(Pouhu6),
- .sr(HRESETn),
- .q(C10bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19164)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- C14bx6_reg (
- .ce(n1111),
- .clk(HCLK),
- .d(HWDATA[23]),
- .sr(HRESETn),
- .q(C14bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19578)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- C1fax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(Oh4iu6),
- .q(C1fax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18324)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- C1wpw6_reg (
- .clk(HCLK),
- .d(Hyuhu6),
- .sr(HRESETn),
- .q(C1wpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17797)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- C27bx6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_r0_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(19766)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- C2uax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_r4_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(18896)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- C2ypw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(L0ypw6),
- .q(C2ypw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17858)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- C30bx6_reg (
- .clk(HCLK),
- .d(Wouhu6),
- .sr(HRESETn),
- .q(C30bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19170)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- C37ax6_reg (
- .clk(HCLK),
- .d(Roohu6),
- .sr(HRESETn),
- .q(vis_pc_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(18082)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- C3wpw6_reg (
- .clk(HCLK),
- .d(Tbvhu6),
- .sr(HRESETn),
- .q(C3wpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17803)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- C3zpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_psp_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(17897)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- C47bx6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_r1_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(19767)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- C4dax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(J44iu6),
- .q(C4dax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18277)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- C50bx6_reg (
- .clk(HCLK),
- .d(Kpuhu6),
- .sr(HRESETn),
- .q(C50bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19176)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- C5gbx6_reg (
- .ce(n987),
- .clk(HCLK),
- .d(HWDATA[22]),
- .sr(HRESETn),
- .q(C5gbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20040)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- C5wpw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_r0_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(17808)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- C67bx6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_r2_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(19768)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- C72qw6_reg (
- .clk(SWCLKTCK_pad),
- .d(T1yhu6),
- .q(C72qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17964)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- C7wpw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_r1_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(17809)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- C87bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_r3_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(19769)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- C9wpw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_r9_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(17810)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ca1bx6_reg (
- .clk(SCLK),
- .d(Snthu6),
- .sr(HRESETn),
- .q(Ca1bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19296)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ca7bx6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_r8_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(19770)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cbwpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_r11_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(17811)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cc7bx6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_r9_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(19771)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cccbx6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(R84iu6),
- .q(Cccbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19948)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Cchax6_reg (
- .clk(HCLK),
- .d(Umohu6),
- .sr(HRESETn),
- .q(vis_pc_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(18480)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cdwpw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_r5_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(17812)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ce7bx6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_r10_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(19772)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ceabx6_reg (
- .clk(DCLK),
- .d(Ldphu6),
- .q(Ceabx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19887)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cfvpw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Ldvpw6),
- .q(Cfvpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17775)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cfwpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_psp_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(17813)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cg7bx6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_r11_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(19773)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cglax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_r10_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18740)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Chwpw6_reg (
- .clk(HCLK),
- .d(Sqqhu6),
- .q(Chwpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17814)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ci7bx6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_r4_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(19774)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cilax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_r10_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(18741)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Cjqpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Yfxhu6),
- .sr(Kxhpw6),
- .q(Cjqpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17563)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cjwpw6_reg (
- .clk(DCLK),
- .d(Maphu6),
- .q(Cjwpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17815)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ck7bx6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_r5_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(19775)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cklax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_r10_o[31])); // ../rtl/topmodule/cortexm0ds_logic.v(18742)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cm7bx6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_r6_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(19776)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cmlax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Tx8iu6),
- .q(vis_r10_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18743)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cncbx6_reg (
- .clk(DCLK),
- .d(U7phu6),
- .q(Cncbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19954)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cndbx6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(B74iu6),
- .q(Cndbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19978)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Co7bx6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_r7_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(19777)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Coupw6_reg (
- .clk(SCLK),
- .d(S8uhu6),
- .q(Coupw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17711)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cq3qw6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(M94iu6),
- .q(Cq3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18045)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Cq7bx6_reg (
- .clk(HCLK),
- .d(Vcohu6),
- .sr(HRESETn),
- .q(vis_pc_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(19779)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cqrpw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_r9_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(17628)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cs6bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_r3_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(19761)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cvpax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_r2_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(18820)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Cwyax6_reg (
- .ce(n1116),
- .clk(HCLK),
- .d(HWDATA[30]),
- .sr(HRESETn),
- .q(Cwyax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19044)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cxcbx6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(Y84iu6),
- .q(Cxcbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19964)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Cxzax6_reg (
- .clk(HCLK),
- .d(Lmuhu6),
- .sr(HRESETn),
- .q(Cxzax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19152)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Cy4bx6_reg (
- .ce(n1110),
- .clk(HCLK),
- .d(HWDATA[31]),
- .sr(HRESETn),
- .q(Cy4bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19674)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Cydbx6_reg (
- .clk(DCLK),
- .d(K9phu6),
- .q(Cydbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19984)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Czzax6_reg (
- .clk(HCLK),
- .d(Nnuhu6),
- .sr(HRESETn),
- .q(Czzax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19158)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- D0uax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_r4_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(18895)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- D12qw6_reg (
- .clk(HCLK),
- .d(Mpohu6),
- .sr(HRESETn),
- .q(vis_pc_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(17952)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- D1aax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(T24iu6),
- .q(D1aax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18177)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- D1zpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_psp_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(17896)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- D2opw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Wsxhu6),
- .q(D2opw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17492)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- D2rpw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Nfqpw6),
- .q(D2rpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17596)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- D43qw6_reg (
- .clk(DCLK),
- .d(T2xhu6),
- .sr(DBGRESETn),
- .q(D43qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18018)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- D46bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_r3_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(19749)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- D66bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_r3_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(19750)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- D70bx6_reg (
- .clk(HCLK),
- .d(Rpuhu6),
- .sr(HRESETn),
- .q(D70bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19182)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- D7gbx6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[22]),
- .q(D7gbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20045)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- D86bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_r3_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(19751)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- D99ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(D84iu6),
- .q(D99ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18162)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Da6bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_r3_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(19752)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Daebx6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(I74iu6),
- .q(Daebx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19990)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Daiax6_reg (
- .clk(HCLK),
- .d(Ajohu6),
- .sr(HRESETn),
- .q(Daiax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18568)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Dc6bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_r3_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(19753)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- De6bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_r3_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(19754)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Delax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_r10_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(18739)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Dfbax6_reg (
- .ce(n529),
- .clk(DCLK),
- .d(Ud4iu6),
- .q(Dfbax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18224)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Dg2qw6_reg (
- .clk(DCLK),
- .d(G6xhu6),
- .sr(DBGRESETn),
- .q(Dg2qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17980)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Dg6bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_r3_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(19755)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Di3qw6_reg (
- .clk(DCLK),
- .d(A3xhu6),
- .sr(DBGRESETn),
- .q(Di3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18036)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Di6bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_r3_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(19756)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Dk6bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_r3_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(19757)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Dk9bx6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(Xi4iu6),
- .q(Dk9bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19817)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Dm6bx6_reg (
- .clk(HCLK),
- .d(K8qhu6),
- .q(Dm6bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19758)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Dmeax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(N64iu6),
- .q(Dmeax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18316)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Dncax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(T94iu6),
- .q(Dncax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18268)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Do6bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_r3_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(19759)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Dorpw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_r1_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(17627)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Dpwpw6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(Z54iu6),
- .q(Dpwpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17818)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Dq6bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_r3_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(19760)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Dqkbx6_reg (
- .clk(SWCLKTCK_pad),
- .d(I5nhu6),
- .sr(Kxhpw6),
- .q(SWDOEN)); // ../rtl/topmodule/cortexm0ds_logic.v(20269)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Drcbx6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(S2cbx6),
- .q(Drcbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19961)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Drhax6_reg (
- .clk(HCLK),
- .d(Qkohu6),
- .sr(HRESETn),
- .q(vis_pc_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(18528)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Dt1bx6_reg (
- .clk(SCLK),
- .d(I1phu6),
- .sr(HRESETn),
- .q(Dt1bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19350)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Dtpax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_r6_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(18819)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Dugax6_reg (
- .ce(M24iu6),
- .clk(DCLK),
- .d(O34iu6),
- .sr(DBGRESETn),
- .q(Dugax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18420)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Dv2bx6_reg (
- .clk(SCLK),
- .d(Nwdpw6),
- .sr(HRESETn),
- .q(Dv2bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19458)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Dxvpw6_reg (
- .clk(HCLK),
- .d(Gfvhu6),
- .sr(HRESETn),
- .q(Dxvpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17790)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Dzvpw6_reg (
- .ce(n3767),
- .clk(HCLK),
- .d(Rnbow6),
- .q(Dzvpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17795)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- E05bx6_reg (
- .ce(n1114),
- .clk(HCLK),
- .d(HWDATA[7]),
- .sr(HRESETn),
- .q(E05bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19680)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- E1npw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_r0_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(17448)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- E34bx6_reg (
- .ce(n1111),
- .clk(HCLK),
- .d(HWDATA[30]),
- .sr(HRESETn),
- .q(E34bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19584)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- E3npw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_r0_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(17449)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- E5npw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_r0_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(17450)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- E5pax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_r6_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(18807)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- E6iax6_reg (
- .clk(SCLK),
- .d(H5vhu6),
- .q(E6iax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18565)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- E7npw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_r0_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(17451)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- E7pax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_r6_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(18808)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- E8iax6_reg (
- .clk(SCLK),
- .d(D3vhu6),
- .q(E8iax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18566)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- E90bx6_reg (
- .clk(HCLK),
- .d(Ypuhu6),
- .sr(HRESETn),
- .q(E90bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19188)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- E97ax6_reg (
- .clk(SWCLKTCK_pad),
- .d(Sxxhu6),
- .q(E97ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18089)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- E9npw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_r0_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(17452)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- E9pax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_r6_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(18809)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Eafax6_reg (
- .ce(n524),
- .clk(DCLK),
- .d(T24iu6),
- .sr(DBGRESETn),
- .q(Eafax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18340)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Eagax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(Lm1iu6),
- .q(Eagax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18403)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ebnpw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_r0_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(17453)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ebpax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_r6_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(18810)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Eclax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_r10_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(18738)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ectax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_r12_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(18883)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ednpw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_r0_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(17454)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Edpax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_r6_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(18811)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Eetax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_r12_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(18884)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Efdax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(T24iu6),
- .sr(DBGRESETn),
- .q(Efdax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18284)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Efnpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_r11_o[31])); // ../rtl/topmodule/cortexm0ds_logic.v(17455)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Efpax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_r6_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(18812)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Egaax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(E54iu6),
- .q(Egaax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18185)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Eghbx6_reg (
- .clk(SCLK),
- .d(Asthu6),
- .sr(HRESETn),
- .q(Eghbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20109)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Egtax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_r12_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(18885)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ehnpw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_r0_o[31])); // ../rtl/topmodule/cortexm0ds_logic.v(17456)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ehpax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_r6_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(18813)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ehqpw6_reg (
- .ce(n332),
- .clk(SWCLKTCK_pad),
- .d(Nfqpw6),
- .sr(Kxhpw6),
- .q(Ehqpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17557)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Eitax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_r12_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(18886)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ejnpw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_r1_o[31])); // ../rtl/topmodule/cortexm0ds_logic.v(17457)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ejpax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_r6_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(18814)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ektax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_r12_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(18887)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Elgax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(F94iu6),
- .q(Elgax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18409)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Eliax6_reg (
- .clk(HCLK),
- .d(W2vhu6),
- .sr(HRESETn),
- .q(vis_ipsr_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18604)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Elnpw6_reg (
- .ce(n1200),
- .clk(HCLK),
- .d(HWDATA[31]),
- .sr(HRESETn),
- .q(Elnpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17459)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Elpax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_r6_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(18815)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Emrpw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_r0_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(17626)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Emtax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_r12_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(18888)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Enpax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_r6_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(18816)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Eotax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_r12_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(18889)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Eppax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_r6_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(18817)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Eqtax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_r12_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(18890)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Equpw6_reg (
- .clk(HCLK),
- .d(Esohu6),
- .sr(HRESETn),
- .q(vis_pc_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(17713)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Erbbx6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(G64iu6),
- .q(Erbbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19937)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Erpax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_r6_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(18818)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Esabx6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(L54iu6),
- .q(Esabx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19894)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Estax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_r12_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(18891)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Etfbx6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(P74iu6),
- .q(Etfbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20018)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Eudax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(S54iu6),
- .q(Eudax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18296)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Eutax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_r12_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(18892)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Evbax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(D84iu6),
- .q(Evbax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18248)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Evhpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(1'b1),
- .sr(PORESETn),
- .q(Evhpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17151)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Evypw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_psp_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(17893)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ewtax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_r12_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(18893)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Exypw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_psp_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(17894)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Eytax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_r12_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(18894)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Eyyax6_reg (
- .ce(n1116),
- .clk(HCLK),
- .d(HWDATA[31]),
- .sr(HRESETn),
- .q(Eyyax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19050)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ez1qw6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_r7_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(17950)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ezypw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_psp_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(17895)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- F17ax6_reg (
- .clk(HCLK),
- .d(Rjthu6),
- .sr(HRESETn),
- .q(F17ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18076)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F1pax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_r6_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(18805)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- F26bx6_reg (
- .ce(Jzmiu6),
- .clk(HCLK),
- .d(Czmiu6),
- .sr(HRESETn),
- .q(F26bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19744)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F2dax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(X44iu6),
- .q(F2dax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18276)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F2tax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_r12_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(18878)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F3pax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Uoliu6),
- .q(vis_r6_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(18806)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F4iax6_reg (
- .clk(SCLK),
- .d(I2vhu6),
- .q(F4iax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18564)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- F4ibx6_reg (
- .clk(DCLK),
- .d(Uephu6),
- .sr(DBGRESETn),
- .q(F4ibx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20156)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F4tax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_r12_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(18879)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F59bx6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(Q44iu6),
- .q(F59bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19809)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F6dbx6_reg (
- .clk(HCLK),
- .d(Dsrhu6),
- .q(F6dbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19969)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F6tax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_r12_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(18880)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F7eax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(H34iu6),
- .q(F7eax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18303)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F7jbx6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(Ym4iu6),
- .q(F7jbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20185)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F8cbx6_reg (
- .clk(HCLK),
- .d(W5shu6),
- .q(F8cbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19946)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F8dbx6_reg (
- .clk(DCLK),
- .d(P8phu6),
- .q(F8dbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19970)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F8tax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_r12_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(18881)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- F9gbx6_reg (
- .clk(SCLK),
- .d(Z8uhu6),
- .q(F9gbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20046)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- F9vpw6_reg (
- .ce(n3724),
- .clk(HCLK),
- .d(B6cpw6),
- .sr(HRESETn),
- .q(F9vpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17768)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Facax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(Pl4iu6),
- .q(Facax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18256)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Facbx6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(R84iu6),
- .q(Facbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19947)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Fahax6_reg (
- .clk(HCLK),
- .d(Bnohu6),
- .sr(HRESETn),
- .q(vis_pc_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(18474)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fatax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Uoliu6),
- .q(vis_r12_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(18882)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Fb0bx6_reg (
- .clk(HCLK),
- .d(Fquhu6),
- .sr(HRESETn),
- .q(Fb0bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19194)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Fc1bx6_reg (
- .clk(SCLK),
- .d(F3phu6),
- .sr(HRESETn),
- .q(Fc1bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19302)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Fe2bx6_reg (
- .clk(SCLK),
- .d(N0phu6),
- .sr(HRESETn),
- .q(Fe2bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19410)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fj8ax6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Oh8ax6),
- .q(Fj8ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18123)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fjdbx6_reg (
- .clk(HCLK),
- .d(A9rhu6),
- .q(Fjdbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19976)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fkrpw6_reg (
- .clk(HCLK),
- .d(Ssohu6),
- .q(Fkrpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17625)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fl2qw6_reg (
- .clk(DCLK),
- .d(B8phu6),
- .q(Fl2qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17997)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fldbx6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(B74iu6),
- .q(Fldbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19977)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fm7ax6_reg (
- .ce(Tu4iu6),
- .clk(DCLK),
- .d(Ud4iu6),
- .q(Fm7ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18101)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Fnnpw6_reg (
- .clk(SCLK),
- .d(Puohu6),
- .sr(HRESETn),
- .q(Fnnpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17465)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fo9ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(Pl4iu6),
- .q(Fo9ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18170)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fpnpw6_reg (
- .clk(HCLK),
- .d(Iuohu6),
- .q(Fpnpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17470)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ftaax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(Df4iu6),
- .q(Ftaax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18192)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ftypw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_psp_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(17892)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fvcbx6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(Y84iu6),
- .q(Fvcbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19963)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fvoax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_r6_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(18802)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fx1qw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_r5_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(17949)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fxoax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_r6_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(18803)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fzmpw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_r0_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(17447)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Fzoax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_r6_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(18804)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- G0tax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_r12_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(18877)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- G0zax6_reg (
- .ce(n1199),
- .clk(HCLK),
- .d(I4eiu6),
- .sr(HRESETn),
- .q(G0zax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19056)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- G25bx6_reg (
- .ce(n1114),
- .clk(HCLK),
- .d(HWDATA[14]),
- .sr(HRESETn),
- .q(G25bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19686)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- G2iax6_reg (
- .clk(SCLK),
- .d(B2vhu6),
- .q(G2iax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18563)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- G54bx6_reg (
- .ce(n1111),
- .clk(HCLK),
- .d(HWDATA[31]),
- .sr(HRESETn),
- .q(G54bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19590)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- G79ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(K84iu6),
- .q(G79ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18161)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- G8ebx6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(I74iu6),
- .q(G8ebx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19989)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gbvpw6_reg (
- .clk(DCLK),
- .d(R9phu6),
- .q(Gbvpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17773)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gc1qw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Qa1qw6),
- .q(Gc1qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17938)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Gd0bx6_reg (
- .clk(HCLK),
- .d(Tquhu6),
- .sr(HRESETn),
- .q(Gd0bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19200)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ggabx6_reg (
- .clk(DCLK),
- .d(Hbphu6),
- .q(Ggabx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19888)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Gihbx6_reg (
- .clk(SCLK),
- .d(H4phu6),
- .sr(HRESETn),
- .q(Gihbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20115)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gkeax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(U64iu6),
- .q(Gkeax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18315)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gl1qw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Qj1qw6),
- .q(Gl1qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17943)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gnqpw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Krlpw6),
- .q(Gnqpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17574)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Golpw6_reg (
- .ce(U03iu6),
- .clk(SWCLKTCK_pad),
- .d(Rilpw6),
- .q(Golpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17382)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gp6ax6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_msp_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(18064)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gpqpw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Vplpw6),
- .q(Gpqpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17575)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Gr2qw6_reg (
- .ce(Tu4iu6),
- .clk(DCLK),
- .d(T24iu6),
- .sr(DBGRESETn),
- .q(Gr2qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18001)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gr6ax6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_psp_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(18065)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gt6ax6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_r5_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18066)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gtoax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_r6_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(18801)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Gv1bx6_reg (
- .clk(SCLK),
- .d(Zgthu6),
- .sr(HRESETn),
- .q(Gv1bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19356)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gv1qw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_r11_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(17948)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gv6ax6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_r0_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18067)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gvmpw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_r0_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(17445)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gw6bx6_reg (
- .clk(SWCLKTCK_pad),
- .d(Qwxhu6),
- .q(Gw6bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19763)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gwwpw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Puwpw6),
- .q(Gwwpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17827)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gwxpw6_reg (
- .clk(HCLK),
- .d(Gzphu6),
- .q(Gwxpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17855)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gx6ax6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_r1_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18068)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gxmpw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_r0_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(17446)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Gylpw6_reg (
- .ce(U73iu6),
- .clk(SWCLKTCK_pad),
- .d(Mmyhu6),
- .sr(Kxhpw6),
- .q(Gylpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17399)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gyxpw6_reg (
- .clk(DCLK),
- .d(Ccphu6),
- .q(Gyxpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17856)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Gz6ax6_reg (
- .ce(n1200),
- .clk(HCLK),
- .d(HWDATA[23]),
- .sr(HRESETn),
- .q(Gz6ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18070)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Gzeax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(Gk4iu6),
- .q(Gzeax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18323)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- H0ebx6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Sddbx6),
- .q(H0ebx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19985)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- H3lpw6_reg (
- .clk(DCLK),
- .d(L6phu6),
- .q(H3lpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17325)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- H4bax6_reg (
- .ce(Oe7iu6),
- .clk(DCLK),
- .d(T24iu6),
- .sr(DBGRESETn),
- .q(H4bax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18214)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- H4ypw6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(J44iu6),
- .q(H4ypw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17859)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- H4zax6_reg (
- .ce(n1113),
- .clk(HCLK),
- .d(HWDATA[7]),
- .sr(HRESETn),
- .q(H4zax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19068)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- H7hbx6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(W74iu6),
- .q(H7hbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20103)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- H8gax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(Lm1iu6),
- .q(H8gax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18402)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Halax6_reg (
- .clk(HCLK),
- .d(Z7vhu6),
- .sr(HRESETn),
- .q(Halax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18733)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Hbgbx6_reg (
- .ce(n1110),
- .clk(HCLK),
- .d(HWDATA[22]),
- .sr(HRESETn),
- .q(Hbgbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20048)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hdbax6_reg (
- .ce(n529),
- .clk(DCLK),
- .d(O34iu6),
- .q(Hdbax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18223)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Hdfax6_reg (
- .ce(n524),
- .clk(DCLK),
- .d(J44iu6),
- .sr(DBGRESETn),
- .q(Hdfax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18352)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Heaax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(S54iu6),
- .q(Heaax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18184)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Hf0bx6_reg (
- .clk(HCLK),
- .d(Hruhu6),
- .sr(HRESETn),
- .q(Hf0bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19206)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Hg3bx6_reg (
- .clk(SCLK),
- .d(Cyohu6),
- .sr(HRESETn),
- .q(Hg3bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19518)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hg7ax6_reg (
- .clk(DCLK),
- .d(Gephu6),
- .q(Hg7ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18098)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Hgrpw6_reg (
- .clk(HCLK),
- .d(X4xhu6),
- .sr(HRESETn),
- .q(Hgrpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17614)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hhvpw6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[19]),
- .q(Hhvpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17776)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hi9bx6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(Xi4iu6),
- .q(Hi9bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19816)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Hirpw6_reg (
- .clk(HCLK),
- .d(Zsohu6),
- .sr(HRESETn),
- .q(Hirpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17620)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hjgax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(F94iu6),
- .q(Hjgax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18408)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hkxpw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_r0_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(17849)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hlcax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(Ud4iu6),
- .q(Hlcax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18267)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hlwpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Zxxhu6),
- .q(Hlwpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17816)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hmxpw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_r1_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(17850)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hoxpw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_r9_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(17851)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hpbbx6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(G64iu6),
- .q(Hpbbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19936)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Hpcbx6_reg (
- .ce(n332),
- .clk(SWCLKTCK_pad),
- .d(J4cbx6),
- .sr(Kxhpw6),
- .q(Hpcbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19956)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Hphax6_reg (
- .clk(HCLK),
- .d(Xkohu6),
- .sr(HRESETn),
- .q(vis_pc_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(18522)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hqabx6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(L54iu6),
- .q(Hqabx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19893)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hqxpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_r11_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(17852)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hrfbx6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(P74iu6),
- .q(Hrfbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20017)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hroax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_r6_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(18800)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hsdax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(Z54iu6),
- .q(Hsdax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18295)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hsxpw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_r5_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(17853)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ht1qw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_r9_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(17947)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Htbax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(K84iu6),
- .q(Htbax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18247)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Htmpw6_reg (
- .ce(n3178),
- .clk(HCLK),
- .d(Fi1ju6),
- .q(Htmpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17444)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Huxpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_psp_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(17854)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Hw8ax6_reg (
- .clk(DCLK),
- .d(Y1xhu6),
- .sr(DBGRESETn),
- .q(Hw8ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18136)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Hwhpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Evhpw6),
- .sr(PORESETn),
- .q(Hwhpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17157)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hysax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_r12_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(18876)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Hz9ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(O34iu6),
- .q(Hz9ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18176)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- I0dax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(E54iu6),
- .q(I0dax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18275)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- I0opw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Q3yhu6),
- .sr(Kxhpw6),
- .q(I0opw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17487)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- I1lpw6_reg (
- .clk(HCLK),
- .d(Qdvhu6),
- .q(I1lpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17324)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- I1qpw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_r1_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(17548)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- I2zax6_reg (
- .ce(n1199),
- .clk(HCLK),
- .d(G3eiu6),
- .sr(HRESETn),
- .q(I2zax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19062)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- I3qpw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_r1_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(17549)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- I45bx6_reg (
- .ce(n1114),
- .clk(HCLK),
- .d(Fsdiu6),
- .sr(HRESETn),
- .q(I45bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19692)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- I4rpw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(L5lpw6),
- .q(I4rpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17597)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- I5qpw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_r1_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(17550)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- I5xax6_reg (
- .clk(HCLK),
- .d(Hcvhu6),
- .sr(HRESETn),
- .q(I5xax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18953)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- I74bx6_reg (
- .ce(n1115),
- .clk(HCLK),
- .d(HWDATA[7]),
- .sr(HRESETn),
- .q(I74bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19596)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- I7qpw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_r1_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(17551)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- I8hax6_reg (
- .clk(HCLK),
- .d(Inohu6),
- .sr(HRESETn),
- .q(vis_pc_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(18468)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- I8lax6_reg (
- .ce(HREADY),
- .clk(HCLK),
- .d(Fnpiu6),
- .sr(HRESETn),
- .q(I8lax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18727)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- I9qpw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_r1_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(17552)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ibqpw6_reg (
- .clk(HCLK),
- .d(Zkphu6),
- .q(Ibqpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17553)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Iddax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(Df4iu6),
- .q(Iddax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18282)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Idqpw6_reg (
- .clk(DCLK),
- .d(G7phu6),
- .q(Idqpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17554)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ie1bx6_reg (
- .clk(SCLK),
- .d(Znthu6),
- .sr(HRESETn),
- .q(Ie1bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19308)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Iekax6_reg (
- .ce(D8iiu6),
- .clk(HCLK),
- .d(W7iiu6),
- .q(Iekax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18701)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ih0bx6_reg (
- .clk(HCLK),
- .d(Oruhu6),
- .sr(HRESETn),
- .q(Ih0bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19212)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Iixpw6_reg (
- .ce(n3178),
- .clk(HCLK),
- .d(H25iu6),
- .q(Iixpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17848)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ijiax6_reg (
- .clk(HCLK),
- .d(Ctthu6),
- .sr(HRESETn),
- .q(vis_ipsr_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(18598)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ikhbx6_reg (
- .clk(HCLK),
- .d(Gnuhu6),
- .sr(HRESETn),
- .q(Ikhbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20121)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Im9ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(J44iu6),
- .q(Im9ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18169)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Imhbx6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[4]),
- .q(Imhbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20126)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ipoax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_r6_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(18799)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ir1qw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_r1_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(17946)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Irmpw6_reg (
- .clk(HCLK),
- .d(Uhthu6),
- .sr(HRESETn),
- .q(Irmpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17439)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Isjpw6_reg (
- .ce(Kt4iu6),
- .clk(DCLK),
- .d(Dt4iu6),
- .sr(DBGRESETn),
- .q(Isjpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17262)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Itcbx6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(Y84iu6),
- .q(Itcbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19962)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Iwsax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_r12_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(18875)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ixppw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_r1_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(17546)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Izppw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_r1_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(17547)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- J06bx6_reg (
- .clk(HCLK),
- .d(Cdohu6),
- .sr(HRESETn),
- .q(vis_pc_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(19738)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- J0gax6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(H43iu6),
- .q(J0gax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18398)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- J0iax6_reg (
- .clk(HCLK),
- .d(Hjohu6),
- .sr(HRESETn),
- .q(J0iax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18558)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- J39bx6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(Q44iu6),
- .q(J39bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19808)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- J3xax6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_r3_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(18951)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- J4cbx6_reg (
- .clk(SWCLKTCK_pad),
- .d(R0yhu6),
- .q(J4cbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19944)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- J59ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(T94iu6),
- .q(J59ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18160)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- J5eax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(Oh4iu6),
- .q(J5eax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18302)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- J5jbx6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(Ym4iu6),
- .q(J5jbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20184)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- J6ebx6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(I74iu6),
- .q(J6ebx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19988)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- J6zax6_reg (
- .ce(n1113),
- .clk(HCLK),
- .d(HWDATA[14]),
- .sr(HRESETn),
- .q(J6zax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19074)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- J7xax6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[23]),
- .q(J7xax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18958)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- J8cax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(J44iu6),
- .q(J8cax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18255)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Jckax6_reg (
- .clk(HCLK),
- .d(Pithu6),
- .sr(HRESETn),
- .q(Jckax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18696)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Jdgbx6_reg (
- .ce(n1111),
- .clk(HCLK),
- .d(HWDATA[22]),
- .sr(HRESETn),
- .q(Jdgbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20054)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jfdbx6_reg (
- .clk(SWCLKTCK_pad),
- .d(Bzxhu6),
- .q(Jfdbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19974)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Jflpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Zehpw6[3]),
- .sr(Kxhpw6),
- .q(Jflpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17353)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Jgxpw6_reg (
- .clk(HCLK),
- .d(Iithu6),
- .sr(HRESETn),
- .q(Jgxpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17843)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jhebx6_reg (
- .clk(DCLK),
- .d(D9phu6),
- .q(Jhebx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19994)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jieax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(D84iu6),
- .q(Jieax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18314)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Jj0bx6_reg (
- .clk(HCLK),
- .d(Csuhu6),
- .sr(HRESETn),
- .q(Jj0bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19218)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jjvpw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_r0_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(17777)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jl3qw6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(N64iu6),
- .q(Jl3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18042)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jlvpw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_r1_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(17778)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jnoax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_r6_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(18798)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jnvpw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_r9_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(17779)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Johbx6_reg (
- .clk(SCLK),
- .d(Vduhu6),
- .q(Johbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20127)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jp1qw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_r0_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(17945)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Jp9bx6_reg (
- .clk(SCLK),
- .d(Osthu6),
- .sr(HRESETn),
- .q(Jp9bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19821)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jpmpw6_reg (
- .clk(SCLK),
- .d(N1vhu6),
- .q(Jpmpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17437)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jpvpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_r11_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(17780)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jraax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(H34iu6),
- .q(Jraax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18191)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jrvpw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_r5_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(17781)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jrypw6_reg (
- .ce(Jy9iu6),
- .clk(HCLK),
- .d(Cy9iu6),
- .q(Jrypw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17891)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jtvpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_psp_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(17782)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jusax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_r12_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(18874)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jvkpw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Stkpw6),
- .q(Jvkpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17311)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jvppw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_r1_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(17545)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Jvvpw6_reg (
- .clk(DCLK),
- .d(Dhvhu6),
- .sr(DBGRESETn),
- .q(Jvvpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17784)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Jx1bx6_reg (
- .clk(SCLK),
- .d(P1phu6),
- .sr(HRESETn),
- .q(Jx1bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19362)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Jxgax6_reg (
- .clk(DCLK),
- .d(1'b0),
- .sr(DBGRESETn),
- .q(Jxgax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18432)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Jy5bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_r3_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(19736)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Jz2bx6_reg (
- .clk(SCLK),
- .d(Lzohu6),
- .sr(HRESETn),
- .q(Jz2bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19470)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- K1xax6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_r3_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(18950)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- K5hbx6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(W74iu6),
- .q(K5hbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20102)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- K65bx6_reg (
- .ce(n1114),
- .clk(HCLK),
- .d(HWDATA[23]),
- .sr(HRESETn),
- .q(K65bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19698)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- K6gax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(Lm1iu6),
- .q(K6gax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18401)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- K7vpw6_reg (
- .clk(DCLK),
- .d(Vyuhu6),
- .sr(DBGRESETn),
- .q(DBGRESTARTED)); // ../rtl/topmodule/cortexm0ds_logic.v(17762)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- K94bx6_reg (
- .ce(n1115),
- .clk(HCLK),
- .d(HWDATA[14]),
- .sr(HRESETn),
- .q(K94bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19602)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kadbx6_reg (
- .clk(SWCLKTCK_pad),
- .d(Wzxhu6),
- .q(Kadbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19971)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kakax6_reg (
- .clk(HCLK),
- .d(Seohu6),
- .q(Kakax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18694)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Kalpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Zehpw6[2]),
- .sr(Kxhpw6),
- .q(Kalpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17335)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kcaax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(Z54iu6),
- .q(Kcaax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18183)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ke1qw6_reg (
- .clk(DCLK),
- .d(U6xhu6),
- .q(Ke1qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17939)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kfoax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_r6_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18794)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Khgax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(F94iu6),
- .q(Khgax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18407)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Khoax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_r6_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(18795)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ki3bx6_reg (
- .clk(SCLK),
- .d(Hsthu6),
- .sr(HRESETn),
- .q(Ki3bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19524)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kjoax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_r6_o[31])); // ../rtl/topmodule/cortexm0ds_logic.v(18796)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kkjpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_r11_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(17247)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Kl0bx6_reg (
- .clk(HCLK),
- .d(Qsuhu6),
- .sr(HRESETn),
- .q(Kl0bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19224)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kl8ax6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(E54iu6),
- .q(Kl8ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18124)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kloax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Tx8iu6),
- .q(vis_r6_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18797)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kmjpw6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_r8_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(17248)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kmsax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_r12_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18870)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kn1qw6_reg (
- .clk(HCLK),
- .d(Kuphu6),
- .q(Kn1qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17944)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kn2qw6_reg (
- .clk(SWCLKTCK_pad),
- .d(K0yhu6),
- .q(Kn2qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17998)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Knbbx6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(G64iu6),
- .q(Knbbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19935)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Knhax6_reg (
- .clk(HCLK),
- .d(Elohu6),
- .sr(HRESETn),
- .q(vis_pc_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(18516)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Koabx6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(L54iu6),
- .q(Koabx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19892)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Kojpw6_reg (
- .clk(HCLK),
- .d(Mxuhu6),
- .sr(HRESETn),
- .q(Kojpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17250)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kosax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_r12_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(18871)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kpfbx6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(P74iu6),
- .q(Kpfbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20016)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kqdax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(N64iu6),
- .q(Kqdax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18294)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Kqhbx6_reg (
- .ce(n1199),
- .clk(HCLK),
- .d(HWDATA[4]),
- .sr(HRESETn),
- .q(Kqhbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20129)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kqsax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_r12_o[31])); // ../rtl/topmodule/cortexm0ds_logic.v(18872)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Krbax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(T94iu6),
- .q(Krbax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18246)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Krlpw6_reg (
- .ce(U03iu6),
- .clk(SWCLKTCK_pad),
- .d(Vplpw6),
- .q(Krlpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17384)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ksgax6_reg (
- .ce(M24iu6),
- .clk(DCLK),
- .d(F24iu6),
- .sr(DBGRESETn),
- .q(Ksgax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18414)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Kshbx6_reg (
- .clk(SCLK),
- .d(Trthu6),
- .sr(HRESETn),
- .q(Kshbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20135)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kssax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Tx8iu6),
- .q(vis_r12_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18873)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kswpw6_reg (
- .clk(DCLK),
- .d(Y9phu6),
- .q(Kswpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17825)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ktppw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_r1_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(17544)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Kwlpw6_reg (
- .ce(n332),
- .clk(SWCLKTCK_pad),
- .d(L5lpw6),
- .sr(Kxhpw6),
- .q(Kwlpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17393)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kxeax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(Pl4iu6),
- .q(Kxeax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18322)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Kxhpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Hwhpw6),
- .sr(PORESETn),
- .q(Kxhpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17163)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Kzabx6_reg (
- .clk(SCLK),
- .d(Jeuhu6),
- .q(Kzabx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19903)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- L03qw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Bx2qw6),
- .q(L03qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18015)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- L0ypw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Jwxhu6),
- .q(L0ypw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17857)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- L1bbx6_reg (
- .clk(HCLK),
- .d(Smuhu6),
- .sr(HRESETn),
- .q(L1bbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19905)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- L2bax6_reg (
- .ce(n531),
- .clk(DCLK),
- .d(O34iu6),
- .sr(DBGRESETn),
- .q(L2bax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18208)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- L4lax6_reg (
- .clk(SCLK),
- .d(Wfphu6),
- .sr(HRESETn),
- .q(L4lax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18715)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- L5lpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Zqxhu6),
- .q(L5lpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17326)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- L6hax6_reg (
- .clk(HCLK),
- .d(Pnohu6),
- .sr(HRESETn),
- .q(vis_pc_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18462)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- L6lax6_reg (
- .ce(HREADY),
- .clk(HCLK),
- .d(Qqiow6),
- .sr(HRESETn),
- .q(L6lax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18721)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- L8kax6_reg (
- .clk(HCLK),
- .d(Zeohu6),
- .q(L8kax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18693)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- L8zax6_reg (
- .ce(n1113),
- .clk(HCLK),
- .d(Fsdiu6),
- .sr(HRESETn),
- .q(L8zax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19080)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- L9bbx6_reg (
- .clk(DCLK),
- .d(Nephu6),
- .q(L9bbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19928)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- L9xax6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(Fsdiu6),
- .q(L9xax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18959)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lbbax6_reg (
- .ce(n532),
- .clk(DCLK),
- .d(H34iu6),
- .q(Lbbax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18222)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ldoax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_r6_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(18793)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ldvpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Uyxhu6),
- .q(Ldvpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17774)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ldwax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_r7_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(18938)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Le2qw6_reg (
- .clk(DCLK),
- .d(F2xhu6),
- .sr(DBGRESETn),
- .q(Le2qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17974)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Lerpw6_reg (
- .clk(HCLK),
- .d(Gtohu6),
- .sr(HRESETn),
- .q(vis_pc_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(17608)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Lfgbx6_reg (
- .ce(n1112),
- .clk(HCLK),
- .d(HWDATA[22]),
- .sr(HRESETn),
- .q(Lfgbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20060)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lfppw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_r5_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(17537)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lfwax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_r7_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(18939)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Lg1bx6_reg (
- .clk(SCLK),
- .d(Y2phu6),
- .sr(HRESETn),
- .q(Lg1bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19314)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lg9bx6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(Xi4iu6),
- .q(Lg9bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19815)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lgkax6_reg (
- .clk(HCLK),
- .d(Qfthu6),
- .q(Lgkax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18702)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lhbbx6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Ufbbx6),
- .q(Lhbbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19932)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lhppw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_r5_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(17538)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lhwax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_r7_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(18940)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Li2bx6_reg (
- .clk(SCLK),
- .d(G0phu6),
- .sr(HRESETn),
- .q(Li2bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19422)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Li7ax6_reg (
- .clk(SWCLKTCK_pad),
- .d(Urxhu6),
- .q(Li7ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18099)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Liabx6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Xf8ax6),
- .q(Liabx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19889)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ljcax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(T24iu6),
- .sr(DBGRESETn),
- .q(Ljcax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18262)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ljppw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_r5_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(17539)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ljwax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_r7_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(18941)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lk9ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(X44iu6),
- .q(Lk9ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18168)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lksax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_r12_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(18869)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Llppw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_r5_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(17540)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Llwax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_r7_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(18942)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Lmkbx6_reg (
- .clk(DCLK),
- .d(Pfphu6),
- .sr(DBGRESETn),
- .q(Lmkbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20257)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ln0bx6_reg (
- .clk(HCLK),
- .d(Etuhu6),
- .sr(HRESETn),
- .q(Ln0bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19230)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lnppw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_r5_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(17541)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lnwax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_r7_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(18943)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Lp7ax6_reg (
- .ce(n1008),
- .clk(HCLK),
- .d(G3eiu6),
- .sr(HRESETn),
- .q(Lp7ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18104)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lpppw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_r5_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(17542)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lpwax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_r7_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(18944)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Lqjpw6_reg (
- .clk(HCLK),
- .d(Hxohu6),
- .sr(HRESETn),
- .q(vis_pc_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(17256)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Lr9bx6_reg (
- .clk(SCLK),
- .d(T3phu6),
- .sr(HRESETn),
- .q(Lr9bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19827)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lrppw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_r1_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(17543)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lrwax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_r7_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(18945)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ltwax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_r7_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(18946)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lvwax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_r7_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(18947)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lx9ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(Ud4iu6),
- .q(Lx9ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18175)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lxwax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_r7_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(18948)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lycax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(S54iu6),
- .q(Lycax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18274)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lywpw6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[18]),
- .q(Lywpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17828)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Lzwax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_r7_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(18949)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- M2ebx6_reg (
- .clk(HCLK),
- .d(Pdrhu6),
- .q(M2ebx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19986)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- M2lax6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Tx8iu6),
- .q(vis_r1_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18713)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- M3wax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_r7_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(18933)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- M4ebx6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(I74iu6),
- .q(M4ebx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19987)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- M5wax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_r7_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(18934)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- M6cax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(X44iu6),
- .q(M6cax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18254)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- M6kax6_reg (
- .ce(n3767),
- .clk(HCLK),
- .d(Jrhow6),
- .q(M6kax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18692)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- M6rpw6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[0]),
- .q(M6rpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17598)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- M7wax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_r7_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(18935)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- M81qw6_reg (
- .clk(DCLK),
- .d(Qcphu6),
- .q(M81qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17936)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- M85bx6_reg (
- .ce(n1114),
- .clk(HCLK),
- .d(HWDATA[30]),
- .sr(HRESETn),
- .q(M85bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19704)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- M8fax6_reg (
- .clk(DCLK),
- .d(Czuhu6),
- .sr(DBGRESETn),
- .q(M8fax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18334)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- M8ipw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(W6ipw6),
- .q(M8ipw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17188)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- M9wax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_r7_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(18936)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Mb4bx6_reg (
- .ce(n1115),
- .clk(HCLK),
- .d(Fsdiu6),
- .sr(HRESETn),
- .q(Mb4bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19608)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Mbdax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(H34iu6),
- .q(Mbdax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18281)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Mboax6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_r6_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(18792)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Mbwax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Uoliu6),
- .q(vis_r7_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(18937)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Mdppw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_r5_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(17536)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Mfyax6_reg (
- .ce(n1112),
- .clk(HCLK),
- .d(HWDATA[7]),
- .sr(HRESETn),
- .q(Mfyax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18996)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Mgeax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(K84iu6),
- .q(Mgeax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18313)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Mh1qw6_reg (
- .clk(DCLK),
- .d(Jcphu6),
- .q(Mh1qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17941)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Misax6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_r12_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(18868)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Mjmpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_r11_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(17429)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Mk3bx6_reg (
- .clk(SCLK),
- .d(A4phu6),
- .sr(HRESETn),
- .q(Mk3bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19530)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Mlmpw6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_r8_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(17430)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Mnmpw6_reg (
- .clk(HCLK),
- .d(Xmthu6),
- .sr(HRESETn),
- .q(Mnmpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17432)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Mp0bx6_reg (
- .clk(HCLK),
- .d(Stuhu6),
- .sr(HRESETn),
- .q(Mp0bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19236)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ms5bx6_reg (
- .ce(n1481),
- .clk(HCLK),
- .d(Iiliu6),
- .sr(HRESETn),
- .q(Ms5bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19724)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Muhbx6_reg (
- .clk(SCLK),
- .d(O4phu6),
- .sr(HRESETn),
- .q(Muhbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20141)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Mw5bx6_reg (
- .clk(HCLK),
- .d(Jdohu6),
- .sr(HRESETn),
- .q(vis_pc_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(19731)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Mz1bx6_reg (
- .clk(SCLK),
- .d(Jsuhu6),
- .sr(HRESETn),
- .q(Mz1bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19368)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N0cbx6_reg (
- .clk(DCLK),
- .d(N7phu6),
- .q(N0cbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19942)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N0lax6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Tx8iu6),
- .q(vis_r0_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18712)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N0xpw6_reg (
- .clk(SCLK),
- .d(Bauhu6),
- .q(N0xpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17829)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N19bx6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(Q44iu6),
- .q(N19bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19807)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N1oax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_r14_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(18787)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N1wax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_r7_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(18932)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N39ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(T24iu6),
- .q(N39ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18159)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N3eax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(Gk4iu6),
- .q(N3eax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18301)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N3hbx6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(W74iu6),
- .q(N3hbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20101)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N3jbx6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(Ym4iu6),
- .q(N3jbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20183)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N3oax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_r14_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(18788)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N4gax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(Lm1iu6),
- .q(N4gax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18400)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- N4kax6_reg (
- .clk(HCLK),
- .d(Djthu6),
- .sr(HRESETn),
- .q(N4kax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18687)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- N5bbx6_reg (
- .clk(SCLK),
- .d(V4phu6),
- .sr(HRESETn),
- .q(N5bbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19917)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N5oax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_r14_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(18789)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N61qw6_reg (
- .clk(HCLK),
- .d(Vpphu6),
- .q(N61qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17935)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N7oax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_r14_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(18790)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N7ppw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_r5_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(17533)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N8rpw6_reg (
- .clk(SCLK),
- .d(Xeuhu6),
- .q(N8rpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17599)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N9oax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_r14_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(18791)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- N9ppw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_r5_o[31])); // ../rtl/topmodule/cortexm0ds_logic.v(17534)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Naaax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(N64iu6),
- .q(Naaax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18182)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Nazax6_reg (
- .ce(n1113),
- .clk(HCLK),
- .d(HWDATA[23]),
- .sr(HRESETn),
- .q(Nazax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19086)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nbppw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_r5_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(17535)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nbxax6_reg (
- .clk(SCLK),
- .d(Wauhu6),
- .q(Nbxax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18960)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nckbx6_reg (
- .clk(DCLK),
- .d(Xcphu6),
- .q(Nckbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20246)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nd3qw6_reg (
- .clk(DCLK),
- .d(B7xhu6),
- .q(Nd3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18032)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nfgax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(F94iu6),
- .q(Nfgax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18406)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nfnax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_r14_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(18776)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nfqpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(F1yhu6),
- .q(Nfqpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17555)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ngsax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_r8_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(18867)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Nhgbx6_reg (
- .ce(n1113),
- .clk(HCLK),
- .d(HWDATA[22]),
- .sr(HRESETn),
- .q(Nhgbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20066)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nhnax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_r14_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(18777)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ni5bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_r3_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(19718)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Nj2qw6_reg (
- .clk(DCLK),
- .d(E7vhu6),
- .sr(DBGRESETn),
- .q(Nj2qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17992)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Njnax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_r14_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(18778)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nk5bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_r3_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(19719)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nlbbx6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(G64iu6),
- .q(Nlbbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19934)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nlcbx6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(R84iu6),
- .q(Nlcbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19953)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Nlhax6_reg (
- .clk(HCLK),
- .d(Llohu6),
- .sr(HRESETn),
- .q(vis_pc_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(18510)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nlnax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_r14_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(18779)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nm5bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_r3_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(19720)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nmabx6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(L54iu6),
- .q(Nmabx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19891)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nmfax6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Qq3iu6),
- .q(Nmfax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18361)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nnfbx6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(P74iu6),
- .q(Nnfbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20015)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nnnax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_r14_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(18780)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- No3qw6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(D84iu6),
- .q(No3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18044)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- No5bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_r3_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(19721)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nodax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(U64iu6),
- .q(Nodax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18293)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Npaax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(Oh4iu6),
- .q(Npaax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18190)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Npnax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_r14_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(18781)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Npypw6_reg (
- .ce(Fkliu6),
- .clk(HCLK),
- .d(Yjliu6),
- .sr(HRESETn),
- .q(vis_control_o)); // ../rtl/topmodule/cortexm0ds_logic.v(17886)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nq5bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Uoliu6),
- .q(vis_r3_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(19722)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Nr0bx6_reg (
- .clk(HCLK),
- .d(Guuhu6),
- .sr(HRESETn),
- .q(Nr0bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19242)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nr7ax6_reg (
- .clk(SCLK),
- .d(U9uhu6),
- .q(Nr7ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18109)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nrkpw6_reg (
- .clk(DCLK),
- .d(I8phu6),
- .q(Nrkpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17309)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nrnax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_r14_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(18782)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nrqpw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Golpw6),
- .q(Nrqpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17576)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ns8ax6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Wq8ax6),
- .q(Ns8ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18133)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Nt9bx6_reg (
- .clk(HCLK),
- .d(Bouhu6),
- .sr(HRESETn),
- .q(Nt9bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19833)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ntnax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_r14_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(18783)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nu5bx6_reg (
- .clk(HCLK),
- .d(Irrhu6),
- .q(Nu5bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19729)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nv3qw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Wt3qw6),
- .q(Nv3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18048)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Nv9bx6_reg (
- .ce(n987),
- .clk(HCLK),
- .d(HWDATA[6]),
- .sr(HRESETn),
- .q(Nv9bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19839)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nvnax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_r14_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(18784)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nwbbx6_reg (
- .clk(HCLK),
- .d(Anrhu6),
- .q(Nwbbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19940)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nwdbx6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(B74iu6),
- .q(Nwdbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19983)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Nxabx6_reg (
- .clk(HCLK),
- .d(Ocohu6),
- .sr(HRESETn),
- .q(vis_pc_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(19898)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nxnax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_r14_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(18785)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nybbx6_reg (
- .clk(HCLK),
- .d(H1shu6),
- .q(Nybbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19941)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Nyhax6_reg (
- .clk(HCLK),
- .d(Ojohu6),
- .sr(HRESETn),
- .q(vis_pc_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(18552)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Nyhpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(CDBGPWRUPACK),
- .sr(Kxhpw6),
- .q(Nyhpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17169)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Nznax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_r14_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(18786)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- O0sax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_r8_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(18859)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- O1jbx6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_psp_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(20182)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- O1mpw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Yzlpw6),
- .q(O1mpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17405)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- O1ppw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_r9_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(17530)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- O2kax6_reg (
- .clk(HCLK),
- .d(Nfohu6),
- .q(O2kax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18685)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- O2sax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_r8_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(18860)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- O3ppw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_r9_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(17531)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- O41qw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_psp_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(17934)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- O4hax6_reg (
- .clk(HCLK),
- .d(Wnohu6),
- .sr(HRESETn),
- .q(vis_pc_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(18456)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- O4sax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_r8_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(18861)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- O5ppw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_r5_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(17532)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- O6sax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_r8_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(18862)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- O8sax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_r8_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(18863)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Oa5bx6_reg (
- .ce(n1114),
- .clk(HCLK),
- .d(HWDATA[31]),
- .sr(HRESETn),
- .q(Oa5bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19710)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Oarpw6_reg (
- .clk(SCLK),
- .d(Qeuhu6),
- .q(Oarpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17600)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Oasax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_r8_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(18864)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ocsax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_r8_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(18865)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Od4bx6_reg (
- .ce(n1115),
- .clk(HCLK),
- .d(HWDATA[23]),
- .sr(HRESETn),
- .q(Od4bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19614)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Odnax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_r14_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(18775)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Oesax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_r8_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(18866)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ofmpw6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[11]),
- .q(Ofmpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17422)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Og5bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_r3_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(19717)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Oh8ax6_reg (
- .clk(SWCLKTCK_pad),
- .d(Exxhu6),
- .q(Oh8ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18122)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ohyax6_reg (
- .ce(n1112),
- .clk(HCLK),
- .d(HWDATA[14]),
- .sr(HRESETn),
- .q(Ohyax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19002)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Oi1bx6_reg (
- .clk(SCLK),
- .d(Nothu6),
- .sr(HRESETn),
- .q(Oi1bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19320)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Oi9ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(E54iu6),
- .q(Oi9ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18167)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Oikax6_reg (
- .clk(HCLK),
- .d(Jfthu6),
- .q(Oikax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18703)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ojebx6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Bcdbx6),
- .q(Ojebx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19995)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Okfax6_reg (
- .ce(n327),
- .clk(SWCLKTCK_pad),
- .d(Ne3iu6),
- .q(Okfax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18360)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Om3bx6_reg (
- .clk(SCLK),
- .d(Vsthu6),
- .sr(HRESETn),
- .q(Om3bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19536)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Onypw6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Uoliu6),
- .q(vis_r14_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(17884)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Opbax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(Ud4iu6),
- .q(Opbax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18245)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Osrax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_r8_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(18855)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ot0bx6_reg (
- .clk(HCLK),
- .d(Wvuhu6),
- .sr(HRESETn),
- .q(Ot0bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19248)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Otopw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_r9_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(17526)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Oulpw6_reg (
- .ce(n327),
- .clk(SWCLKTCK_pad),
- .d(L5lpw6),
- .sr(Kxhpw6),
- .q(Oulpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17387)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ourax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_r8_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(18856)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Oveax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(J44iu6),
- .q(Oveax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18321)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ovopw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_r9_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(17527)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Owcax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(Z54iu6),
- .q(Owcax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18273)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Owhbx6_reg (
- .clk(HCLK),
- .d(Zmuhu6),
- .sr(HRESETn),
- .q(Owhbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20147)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Owrax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_r8_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(18857)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ox9bx6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[6]),
- .q(Ox9bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19844)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Oxkpw6_reg (
- .clk(HCLK),
- .d(Dwuhu6),
- .sr(HRESETn),
- .q(Oxkpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17313)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Oxopw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_r9_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(17528)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Oyhbx6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[3]),
- .q(Oyhbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20152)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Oykax6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Tx8iu6),
- .q(vis_r5_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18711)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Oyrax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_r8_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(18858)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ozopw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_r9_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(17529)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ozvax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_r7_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(18931)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- P0bax6_reg (
- .ce(n531),
- .clk(DCLK),
- .d(Ud4iu6),
- .sr(DBGRESETn),
- .q(P0bax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18202)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- P0ibx6_reg (
- .clk(SCLK),
- .d(Ceuhu6),
- .q(P0ibx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20153)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- P0kax6_reg (
- .clk(HCLK),
- .d(V5vhu6),
- .sr(HRESETn),
- .q(P0kax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18680)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- P12bx6_reg (
- .clk(SCLK),
- .d(B1phu6),
- .sr(HRESETn),
- .q(P12bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19374)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- P14qw6_reg (
- .clk(HCLK),
- .d(Wgvhu6),
- .sr(HRESETn),
- .q(P14qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18057)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- P21qw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_r5_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(17933)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- P23qw6_reg (
- .clk(DCLK),
- .d(D8xhu6),
- .q(P23qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18016)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- P2xpw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_r0_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(17830)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- P33bx6_reg (
- .clk(SCLK),
- .d(Ezohu6),
- .sr(HRESETn),
- .q(P33bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19482)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- P34qw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_r9_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18062)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- P4cax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(E54iu6),
- .q(P4cax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18253)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- P4xpw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_r1_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(17831)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- P54qw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_r11_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18063)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- P5vpw6_reg (
- .clk(HCLK),
- .d(Oqohu6),
- .sr(HRESETn),
- .q(P5vpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17756)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- P6xpw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_r9_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(17832)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- P7bbx6_reg (
- .clk(HCLK),
- .d(Hcohu6),
- .sr(HRESETn),
- .q(vis_pc_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(19923)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- P8xpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_r11_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(17833)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- P93qw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Z73qw6),
- .q(P93qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18025)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- P9bax6_reg (
- .ce(n532),
- .clk(DCLK),
- .d(Df4iu6),
- .q(P9bax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18221)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Paxpw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_r5_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(17834)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pbbbx6_reg (
- .clk(HCLK),
- .d(Hvqhu6),
- .q(Pbbbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19929)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pbnax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_r14_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(18774)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Pcrpw6_reg (
- .clk(HCLK),
- .d(S0vhu6),
- .sr(HRESETn),
- .q(vis_ipsr_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(17602)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pcxpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_psp_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(17835)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Pczax6_reg (
- .ce(n1113),
- .clk(HCLK),
- .d(HWDATA[30]),
- .sr(HRESETn),
- .q(Pczax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19092)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pdbbx6_reg (
- .clk(DCLK),
- .d(Faphu6),
- .q(Pdbbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19930)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pdmpw6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_r8_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(17421)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pdxax6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[14]),
- .q(Pdxax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18961)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Pdyax6_reg (
- .clk(SCLK),
- .d(Npghu6),
- .sr(HRESETn),
- .q(Pdyax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18990)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pe5bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_r3_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(19716)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Pe7ax6_reg (
- .clk(DCLK),
- .d(M2xhu6),
- .sr(DBGRESETn),
- .q(Pe7ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18093)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pe9bx6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(Xi4iu6),
- .q(Pe9bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19814)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Peeax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(T94iu6),
- .q(Peeax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18312)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pejbx6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_msp_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(20189)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Pexpw6_reg (
- .clk(DCLK),
- .d(Khvhu6),
- .sr(DBGRESETn),
- .q(Pexpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17837)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pg3qw6_reg (
- .clk(DCLK),
- .d(W7xhu6),
- .q(Pg3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18034)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Pgjbx6_reg (
- .clk(SCLK),
- .d(Lnthu6),
- .sr(HRESETn),
- .q(Pgjbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20191)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Phcax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(Df4iu6),
- .q(Phcax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18260)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pifax6_reg (
- .ce(n327),
- .clk(SWCLKTCK_pad),
- .d(T33iu6),
- .q(Pifax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18359)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Pjgbx6_reg (
- .ce(n1114),
- .clk(HCLK),
- .d(HWDATA[22]),
- .sr(HRESETn),
- .q(Pjgbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20072)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pkkbx6_reg (
- .ce(n532),
- .clk(DCLK),
- .d(T24iu6),
- .q(Pkkbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20255)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Plypw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Uoliu6),
- .q(vis_r5_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(17883)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Pmlpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Zehpw6[5]),
- .sr(Kxhpw6),
- .q(Pmlpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17377)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pqrax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Uoliu6),
- .q(vis_r8_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(18854)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Propw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_r9_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(17525)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pt7ax6_reg (
- .clk(SCLK),
- .d(Ybuhu6),
- .q(Pt7ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18110)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Puwpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Nyxhu6),
- .q(Puwpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17826)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Pv0bx6_reg (
- .clk(HCLK),
- .d(Kwuhu6),
- .sr(HRESETn),
- .q(Pv0bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19254)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pv9ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(Df4iu6),
- .q(Pv9ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18174)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pwkax6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Tx8iu6),
- .q(vis_r11_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18710)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pxvax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_r7_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(18930)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Pz9bx6_reg (
- .ce(n1110),
- .clk(HCLK),
- .d(HWDATA[6]),
- .sr(HRESETn),
- .q(Pz9bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19846)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Pzibx6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_msp_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(20181)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Pzkpw6_reg (
- .ce(Nn8iu6),
- .clk(HCLK),
- .d(Gn8iu6),
- .sr(HRESETn),
- .q(vis_tbit_o)); // ../rtl/topmodule/cortexm0ds_logic.v(17319)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Q01qw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_r11_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(17932)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Q1hbx6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(W74iu6),
- .q(Q1hbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20100)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Q2gax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(Lm1iu6),
- .q(Q2gax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18399)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Q2ibx6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(K84iu6),
- .q(Q2ibx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20154)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Q4dbx6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(Y84iu6),
- .q(Q4dbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19968)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Q6fax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(T24iu6),
- .sr(DBGRESETn),
- .q(Q6fax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18328)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Q89bx6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Zgfax6),
- .q(Q89bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19811)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Q8aax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(U64iu6),
- .q(Q8aax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18181)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Q9dax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(Oh4iu6),
- .q(Q9dax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18280)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Q9nax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_r14_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(18773)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qa1qw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Vvxhu6),
- .q(Qa1qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17937)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Qakbx6_reg (
- .clk(HCLK),
- .d(Rqthu6),
- .sr(HRESETn),
- .q(Qakbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20241)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qbmpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_r11_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(17420)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qc5bx6_reg (
- .clk(HCLK),
- .d(Loshu6),
- .q(Qc5bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19715)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qehbx6_reg (
- .clk(DCLK),
- .d(Y8xhu6),
- .q(Qehbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20107)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Qf4bx6_reg (
- .ce(n1115),
- .clk(HCLK),
- .d(HWDATA[30]),
- .sr(HRESETn),
- .q(Qf4bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19620)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Qhmpw6_reg (
- .clk(HCLK),
- .d(Mrthu6),
- .sr(HRESETn),
- .q(vis_ipsr_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(17424)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Qijpw6_reg (
- .ce(Zf8iu6),
- .clk(HCLK),
- .d(Sf8iu6),
- .sr(HRESETn),
- .q(vis_apsr_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(17242)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qirax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_r8_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18850)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qj1qw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Cwxhu6),
- .q(Qj1qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17942)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qjbbx6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(G64iu6),
- .q(Qjbbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19933)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qjcbx6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(R84iu6),
- .q(Qjcbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19952)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Qjhax6_reg (
- .clk(HCLK),
- .d(Slohu6),
- .sr(HRESETn),
- .q(vis_pc_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(18504)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Qjyax6_reg (
- .ce(n1112),
- .clk(HCLK),
- .d(Fsdiu6),
- .sr(HRESETn),
- .q(Qjyax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19008)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qjypw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Uoliu6),
- .q(vis_r11_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(17882)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qkabx6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(L54iu6),
- .q(Qkabx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19890)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qkrax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_r8_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(18851)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qlfbx6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(P74iu6),
- .q(Qlfbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20014)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qlopw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_r9_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(17522)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qmdax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(D84iu6),
- .q(Qmdax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18292)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qmrax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_r8_o[31])); // ../rtl/topmodule/cortexm0ds_logic.v(18852)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qnopw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_r9_o[31])); // ../rtl/topmodule/cortexm0ds_logic.v(17523)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Qo3bx6_reg (
- .clk(SCLK),
- .d(M3phu6),
- .sr(HRESETn),
- .q(Qo3bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19542)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qorax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Tx8iu6),
- .q(vis_r8_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18853)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qpopw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_r9_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(17524)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Qsfax6_reg (
- .ce(n274),
- .clk(DCLK),
- .d(Xxqpw6),
- .sr(DBGRESETn),
- .q(Qsfax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18375)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qudbx6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(B74iu6),
- .q(Qudbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19982)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Qufax6_reg (
- .clk(SWCLKTCK_pad),
- .d(Qsfax6),
- .sr(Kxhpw6),
- .q(Qufax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18381)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qukax6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Tx8iu6),
- .q(vis_r9_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18709)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qvvax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_r7_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(18929)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Qwfax6_reg (
- .clk(SWCLKTCK_pad),
- .d(Qufax6),
- .sr(Kxhpw6),
- .q(Qwfax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18387)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qwfbx6_reg (
- .clk(DCLK),
- .d(W8phu6),
- .q(Qwfbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20020)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Qx0bx6_reg (
- .clk(HCLK),
- .d(Ywuhu6),
- .sr(HRESETn),
- .q(Qx0bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19260)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qxibx6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_r14_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(20180)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Qyjax6_reg (
- .clk(HCLK),
- .d(Ufohu6),
- .q(Qyjax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18678)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Qynpw6_reg (
- .ce(n327),
- .clk(SWCLKTCK_pad),
- .d(I13iu6),
- .sr(Kxhpw6),
- .q(Qynpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17481)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- R19ax6_reg (
- .ce(Bs4iu6),
- .clk(DCLK),
- .d(O34iu6),
- .sr(DBGRESETn),
- .q(R19ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18154)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- R1abx6_reg (
- .ce(n1111),
- .clk(HCLK),
- .d(HWDATA[6]),
- .sr(HRESETn),
- .q(R1abx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19852)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- R1eax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(Pl4iu6),
- .q(R1eax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18300)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- R2hax6_reg (
- .clk(HCLK),
- .d(Doohu6),
- .sr(HRESETn),
- .q(vis_pc_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(18450)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- R3vpw6_reg (
- .clk(HCLK),
- .d(Vqohu6),
- .sr(HRESETn),
- .q(R3vpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17750)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- R7ibx6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_r2_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(20167)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- R7kpw6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[13]),
- .q(R7kpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17289)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- R7nax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_r14_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(18772)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- R9ibx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_r3_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(20168)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- R9mpw6_reg (
- .ce(n1360),
- .clk(HCLK),
- .d(S5biu6),
- .q(R9mpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17419)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- R9yax6_reg (
- .clk(HCLK),
- .d(Mbvhu6),
- .sr(HRESETn),
- .q(R9yax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18978)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ra2qw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(C72qw6),
- .q(Ra2qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17971)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rbibx6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_r8_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(20169)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rdibx6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_r9_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(20170)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rdkpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_r11_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(17297)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Rekbx6_reg (
- .clk(HCLK),
- .d(Yaohu6),
- .sr(HRESETn),
- .q(SYSRESETREQ)); // ../rtl/topmodule/cortexm0ds_logic.v(20248)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Rezax6_reg (
- .ce(n1113),
- .clk(HCLK),
- .d(HWDATA[31]),
- .sr(HRESETn),
- .q(Rezax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19098)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rfibx6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_r10_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(20171)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rfkpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_psp_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(17298)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rfxax6_reg (
- .clk(SCLK),
- .d(Dbuhu6),
- .q(Rfxax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18962)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rg9ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(S54iu6),
- .q(Rg9ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18166)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rgrax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_r8_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(18849)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rhibx6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_r4_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(20172)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rhkpw6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[21]),
- .q(Rhkpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17299)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rhypw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Uoliu6),
- .q(vis_r9_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(17881)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Rijbx6_reg (
- .clk(SCLK),
- .d(Uwdpw6),
- .sr(HRESETn),
- .q(Rijbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20197)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Rilpw6_reg (
- .ce(Tw2iu6),
- .clk(SWCLKTCK_pad),
- .d(SWDITMS),
- .sr(Kxhpw6),
- .q(Rilpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17365)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rjibx6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_r5_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(20173)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rjopw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_r9_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(17521)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Rk1bx6_reg (
- .clk(SCLK),
- .d(K2phu6),
- .sr(HRESETn),
- .q(Rk1bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19326)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Rkbax6_reg (
- .clk(DCLK),
- .d(Ifphu6),
- .sr(DBGRESETn),
- .q(Rkbax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18228)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rkkax6_reg (
- .clk(HCLK),
- .d(Cfthu6),
- .q(Rkkax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18704)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Rlgbx6_reg (
- .ce(n1115),
- .clk(HCLK),
- .d(HWDATA[22]),
- .sr(HRESETn),
- .q(Rlgbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20078)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rlibx6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_r6_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(20174)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Rm2bx6_reg (
- .clk(SCLK),
- .d(Gwdpw6),
- .sr(HRESETn),
- .q(Rm2bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19434)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rnaax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(Gk4iu6),
- .q(Rnaax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18189)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rnibx6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_r7_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(20175)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rnvax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_r7_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18925)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ro8ax6_reg (
- .clk(DCLK),
- .d(Obphu6),
- .q(Ro8ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18131)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rpibx6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_r12_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(20176)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rpvax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_r7_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(18926)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rq0qw6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[8]),
- .q(Rq0qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17927)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rr3qw6_reg (
- .clk(DCLK),
- .d(Z6phu6),
- .q(Rr3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18046)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rribx6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_r14_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(20177)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rrvax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_r7_o[31])); // ../rtl/topmodule/cortexm0ds_logic.v(18927)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rskax6_reg (
- .ce(n1360),
- .clk(HCLK),
- .d(Agjiu6),
- .q(Rskax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18708)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rteax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(X44iu6),
- .q(Rteax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18320)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rtibx6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_psp_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(20178)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rtvax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Tx8iu6),
- .q(vis_r7_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18928)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rucax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(N64iu6),
- .q(Rucax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18272)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rv7ax6_reg (
- .clk(SCLK),
- .d(Hduhu6),
- .q(Rv7ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18111)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rvibx6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_r12_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(20179)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Rwhax6_reg (
- .clk(HCLK),
- .d(Vjohu6),
- .sr(HRESETn),
- .q(vis_pc_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(18546)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Rwjax6_reg (
- .clk(HCLK),
- .d(Jzuhu6),
- .sr(HRESETn),
- .q(Rwjax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18673)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ry0qw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_r9_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(17931)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ry2qw6_reg (
- .clk(SWCLKTCK_pad),
- .d(J3yhu6),
- .sr(Kxhpw6),
- .q(Ry2qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18010)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ryfax6_reg (
- .clk(SWCLKTCK_pad),
- .d(Rtxhu6),
- .sr(Kxhpw6),
- .q(Ryfax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18393)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Rz0bx6_reg (
- .clk(HCLK),
- .d(Ayuhu6),
- .sr(HRESETn),
- .q(Rz0bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19266)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Rz8bx6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(Q44iu6),
- .q(Rz8bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19806)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- S0kbx6_reg (
- .clk(HCLK),
- .d(Acvhu6),
- .sr(HRESETn),
- .q(S0kbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20216)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- S11bx6_reg (
- .clk(SCLK),
- .d(Mivhu6),
- .sr(HRESETn),
- .q(S11bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19272)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- S18ax6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_r9_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(18114)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- S1nax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_r14_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(18769)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- S2cax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(S54iu6),
- .q(S2cax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18252)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- S2cbx6_reg (
- .clk(SWCLKTCK_pad),
- .d(Y0yhu6),
- .q(S2cbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19943)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- S32bx6_reg (
- .clk(SCLK),
- .d(Xsuhu6),
- .sr(HRESETn),
- .q(S32bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19380)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- S38ax6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_r11_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(18115)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- S3mpw6_reg (
- .ce(n1116),
- .clk(HCLK),
- .d(HWDATA[7]),
- .sr(HRESETn),
- .q(S3mpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17407)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- S3nax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_r14_o[31])); // ../rtl/topmodule/cortexm0ds_logic.v(18770)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- S4kbx6_reg (
- .ce(n1481),
- .clk(HCLK),
- .d(Ay8iu6),
- .sr(HRESETn),
- .q(S4kbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20228)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- S58ax6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_r5_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(18116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- S5kpw6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_r8_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(17288)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- S5nax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Tx8iu6),
- .q(vis_r14_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18771)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- S78ax6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_msp_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(18117)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- S7mpw6_reg (
- .clk(HCLK),
- .d(Nhthu6),
- .sr(HRESETn),
- .q(S7mpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17414)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- S7yax6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_r3_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(18976)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- S98ax6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_psp_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(18118)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Sb8ax6_reg (
- .clk(HCLK),
- .d(Ohqhu6),
- .q(Sb8ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18119)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Sbfax6_reg (
- .ce(n524),
- .clk(DCLK),
- .d(D84iu6),
- .sr(DBGRESETn),
- .q(Sbfax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18346)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Sd8ax6_reg (
- .clk(DCLK),
- .d(Abphu6),
- .q(Sd8ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18120)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Sddbx6_reg (
- .clk(SWCLKTCK_pad),
- .d(Izxhu6),
- .q(Sddbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19973)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Sdlpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Zehpw6[1]),
- .sr(Kxhpw6),
- .q(Sdlpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17347)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Sejax6_reg (
- .clk(HCLK),
- .d(Khohu6),
- .q(Sejax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18648)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Serax6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_r8_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(18848)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Sfypw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Uoliu6),
- .q(vis_r1_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(17880)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Sgjax6_reg (
- .clk(HCLK),
- .d(Dhohu6),
- .q(Sgjax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18649)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Sh4bx6_reg (
- .ce(n1115),
- .clk(HCLK),
- .d(HWDATA[31]),
- .sr(HRESETn),
- .q(Sh4bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19626)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Shopw6_reg (
- .ce(n3767),
- .clk(HCLK),
- .d(Zp6ow6),
- .q(Shopw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17520)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Sijax6_reg (
- .clk(HCLK),
- .d(Wgohu6),
- .q(Sijax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18650)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Skjax6_reg (
- .clk(HCLK),
- .d(E0vhu6),
- .sr(HRESETn),
- .q(Skjax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18652)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Slvax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_r7_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(18924)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Slyax6_reg (
- .ce(n1112),
- .clk(HCLK),
- .d(HWDATA[23]),
- .sr(HRESETn),
- .q(Slyax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19014)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Smjax6_reg (
- .clk(HCLK),
- .d(Pgohu6),
- .q(Smjax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18657)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Sn4bx6_reg (
- .ce(n1110),
- .clk(HCLK),
- .d(HWDATA[7]),
- .sr(HRESETn),
- .q(Sn4bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19644)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- So0qw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_msp_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(17926)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Sojax6_reg (
- .clk(HCLK),
- .d(Xzuhu6),
- .sr(HRESETn),
- .q(Sojax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18659)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Sq3bx6_reg (
- .clk(SCLK),
- .d(Enthu6),
- .sr(HRESETn),
- .q(Sq3bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19548)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Sqfax6_reg (
- .clk(DCLK),
- .d(Pkhpw6[0]),
- .sr(DBGRESETn),
- .q(Sqfax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18369)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Sqjax6_reg (
- .clk(HCLK),
- .d(Igohu6),
- .q(Sqjax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18664)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Sqkax6_reg (
- .ce(n1360),
- .clk(HCLK),
- .d(Y48iu6),
- .q(Sqkax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18707)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Sqwpw6_reg (
- .clk(DCLK),
- .d(O3xhu6),
- .sr(DBGRESETn),
- .q(Sqwpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17820)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ss0qw6_reg (
- .clk(SCLK),
- .d(Tcuhu6),
- .q(Ss0qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17928)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ssjax6_reg (
- .clk(HCLK),
- .d(Qzuhu6),
- .sr(HRESETn),
- .q(Ssjax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18666)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Stkpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(D0yhu6),
- .q(Stkpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17310)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Su8ax6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(X44iu6),
- .q(Su8ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18134)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Sujax6_reg (
- .clk(HCLK),
- .d(Bgohu6),
- .q(Sujax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18671)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Sw0qw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_r1_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(17930)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Swjbx6_reg (
- .ce(n4330),
- .clk(HCLK),
- .d(Rw8iu6),
- .q(Swjbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20213)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Sx3qw6_reg (
- .clk(HCLK),
- .d(Ufvhu6),
- .q(Sx3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18049)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Sx7ax6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_r0_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(18112)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Syjbx6_reg (
- .clk(HCLK),
- .d(Wzqhu6),
- .q(Syjbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20214)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Sz3qw6_reg (
- .ce(n3472),
- .clk(HCLK),
- .d(I7cow6),
- .sr(HRESETn),
- .q(Sz3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18051)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Sz7ax6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_r1_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(18113)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Szmax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_r14_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18768)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T00qw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_msp_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(17914)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- T0ipw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Nyhpw6),
- .sr(Kxhpw6),
- .q(T0ipw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17175)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T1fbx6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_r11_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(20004)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- T1vpw6_reg (
- .clk(HCLK),
- .d(Crohu6),
- .sr(HRESETn),
- .q(T1vpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17744)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T20qw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_msp_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(17915)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T2dbx6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(Y84iu6),
- .q(T2dbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19967)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- T2kbx6_reg (
- .clk(SCLK),
- .d(Kjthu6),
- .sr(HRESETn),
- .q(T2kbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20222)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- T3abx6_reg (
- .ce(n1112),
- .clk(HCLK),
- .d(HWDATA[6]),
- .sr(HRESETn),
- .q(T3abx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19858)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T3fbx6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_r4_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(20005)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T3kpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_r11_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(17287)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T3opw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(D2opw6),
- .q(T3opw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17493)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T40qw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_msp_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(17916)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T5fbx6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_r5_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(20006)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T5mpw6_reg (
- .clk(SCLK),
- .d(Z0vhu6),
- .q(T5mpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17412)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T5yax6_reg (
- .clk(HCLK),
- .d(Htshu6),
- .q(T5yax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18975)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T60qw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_msp_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(17917)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T6aax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(D84iu6),
- .q(T6aax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18180)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T6kbx6_reg (
- .clk(HCLK),
- .d(L4rhu6),
- .q(T6kbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20233)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T7bax6_reg (
- .ce(n532),
- .clk(DCLK),
- .d(Ud4iu6),
- .q(T7bax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18220)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T7fbx6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_r6_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(20007)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T80qw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_msp_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(17918)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- T82qw6_reg (
- .ce(n332),
- .clk(SWCLKTCK_pad),
- .d(C72qw6),
- .sr(Kxhpw6),
- .q(T82qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17966)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- T8kbx6_reg (
- .clk(HCLK),
- .d(G8vhu6),
- .sr(HRESETn),
- .q(T8kbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20235)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T9fbx6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_r7_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(20008)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- T9kpw6_reg (
- .clk(SCLK),
- .d(Kbuhu6),
- .q(T9kpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17290)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ta0qw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_msp_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(17919)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Tajax6_reg (
- .ce(n4330),
- .clk(HCLK),
- .d(Wz4iu6),
- .sr(HRESETn),
- .q(Tajax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18642)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Tb3qw6_reg (
- .ce(M24iu6),
- .clk(DCLK),
- .d(H34iu6),
- .sr(DBGRESETn),
- .q(Tb3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18027)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tbfbx6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_r12_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(20009)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tc0qw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_msp_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(17920)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tc9bx6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(Xi4iu6),
- .q(Tc9bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19813)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tceax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(Ud4iu6),
- .q(Tceax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18311)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tchbx6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(M94iu6),
- .q(Tchbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20106)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Tcipw6_reg (
- .clk(SCLK),
- .d(Jyohu6),
- .sr(HRESETn),
- .q(Tcipw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17196)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tcjax6_reg (
- .clk(HCLK),
- .d(Rhohu6),
- .q(Tcjax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18647)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tcjbx6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(Ym4iu6),
- .q(Tcjbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20188)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tcrax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_r2_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(18847)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tdfbx6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_r14_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(20010)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tdypw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Uoliu6),
- .q(vis_r0_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(17879)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Te0qw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_msp_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(17921)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tfcax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(H34iu6),
- .q(Tfcax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18259)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tffbx6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_msp_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(20011)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tg0qw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_msp_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(17922)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tgkbx6_reg (
- .clk(HCLK),
- .d(Lashu6),
- .q(Tgkbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20253)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Tgzax6_reg (
- .ce(n987),
- .clk(HCLK),
- .d(HWDATA[31]),
- .sr(HRESETn),
- .q(Tgzax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19104)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Thcbx6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(R84iu6),
- .q(Thcbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19951)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Thfbx6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_psp_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(20012)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Thhax6_reg (
- .clk(HCLK),
- .d(Zlohu6),
- .sr(HRESETn),
- .q(vis_pc_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(18498)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Thiax6_reg (
- .clk(DCLK),
- .d(Frthu6),
- .sr(DBGRESETn),
- .q(Thiax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18592)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Thxax6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[7]),
- .q(Thxax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18963)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ti0qw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_msp_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(17923)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tikbx6_reg (
- .ce(n529),
- .clk(DCLK),
- .d(T24iu6),
- .q(Tikbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20254)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tjfbx6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(P74iu6),
- .q(Tjfbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20013)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tjkpw6_reg (
- .clk(SCLK),
- .d(G9uhu6),
- .q(Tjkpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17300)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tjvax6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_r7_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(18923)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tk0qw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_msp_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(17924)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tkdax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(K84iu6),
- .q(Tkdax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18291)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Tkjbx6_reg (
- .clk(HCLK),
- .d(Dpuhu6),
- .sr(HRESETn),
- .q(Tkjbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20203)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Tl4bx6_reg (
- .ce(Mpgiu6),
- .clk(HCLK),
- .d(HWDATA[30]),
- .sr(HRESETn),
- .q(Tl4bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19638)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tlebx6_reg (
- .clk(HCLK),
- .d(Eirhu6),
- .q(Tlebx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19996)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tm0qw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_msp_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(17925)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tmjbx6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[9]),
- .q(Tmjbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20208)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tnebx6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_r0_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(19997)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Tngbx6_reg (
- .ce(n1116),
- .clk(HCLK),
- .d(HWDATA[22]),
- .sr(HRESETn),
- .q(Tngbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20084)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tokax6_reg (
- .clk(HCLK),
- .d(Eeohu6),
- .q(Tokax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18706)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tpebx6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_r1_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(19998)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tptpw6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[10]),
- .q(Tptpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17689)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Trebx6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_r2_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(19999)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tsdbx6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(B74iu6),
- .q(Tsdbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19981)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tt9ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(H34iu6),
- .q(Tt9ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18173)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ttebx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_r3_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(20000)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tu0qw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_r0_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(17929)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tujbx6_reg (
- .clk(HCLK),
- .d(Mbohu6),
- .q(Tujbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20212)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tvebx6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_r8_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(20001)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Twzpw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_msp_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(17912)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Txebx6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_r9_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(20002)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Txmax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_r14_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(18767)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Tyaax6_reg (
- .ce(n531),
- .clk(DCLK),
- .d(T24iu6),
- .sr(DBGRESETn),
- .q(Tyaax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18196)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tyipw6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[12]),
- .q(Tyipw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17226)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tyzpw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_msp_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(17913)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tzebx6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Zuliu6),
- .q(vis_r10_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(20003)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Tzgbx6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(W74iu6),
- .q(Tzgbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20099)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- U0hax6_reg (
- .clk(HCLK),
- .d(Koohu6),
- .sr(HRESETn),
- .q(vis_pc_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(18444)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- U0rax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_r2_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(18841)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- U1kpw6_reg (
- .ce(n1360),
- .clk(HCLK),
- .d(Y7jiu6),
- .q(U1kpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17286)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- U2rax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_r2_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(18842)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- U31bx6_reg (
- .clk(SCLK),
- .d(J5phu6),
- .sr(HRESETn),
- .q(U31bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19278)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- U3yax6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_r3_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(18974)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- U4fax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(Df4iu6),
- .q(U4fax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18326)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- U4rax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_r2_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(18843)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- U6rax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_r2_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(18844)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- U7dax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(Gk4iu6),
- .q(U7dax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18279)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- U8jax6_reg (
- .ce(HREADY),
- .clk(HCLK),
- .d(W7cow6),
- .sr(HRESETn),
- .q(U8jax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18636)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- U8rax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_r2_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(18845)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- U9ypw6_reg (
- .clk(HCLK),
- .d(Rhvhu6),
- .sr(HRESETn),
- .q(U9ypw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17873)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ua9bx6_reg (
- .clk(HCLK),
- .d(Wjshu6),
- .q(Ua9bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19812)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uarax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_r2_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(18846)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ubypw6_reg (
- .clk(HCLK),
- .d(Tpohu6),
- .q(Ubypw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17878)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ue9ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(Z54iu6),
- .q(Ue9ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18165)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ufbbx6_reg (
- .clk(SWCLKTCK_pad),
- .d(Gyxhu6),
- .q(Ufbbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19931)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ufebx6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(I74iu6),
- .q(Ufebx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19993)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ufopw6_reg (
- .clk(HCLK),
- .d(Utohu6),
- .sr(HRESETn),
- .q(Ufopw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17515)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Uh2qw6_reg (
- .clk(DCLK),
- .d(Ghthu6),
- .sr(DBGRESETn),
- .q(Uh2qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17986)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uhvax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_r4_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(18922)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Uizax6_reg (
- .ce(n987),
- .clk(HCLK),
- .d(HWDATA[30]),
- .sr(HRESETn),
- .q(Uizax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19110)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Uj4bx6_reg (
- .ce(Mpgiu6),
- .clk(HCLK),
- .d(HWDATA[31]),
- .sr(HRESETn),
- .q(Uj4bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19632)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ujspw6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[16]),
- .q(Ujspw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17658)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ujxax6_reg (
- .clk(SCLK),
- .d(Aduhu6),
- .q(Ujxax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18964)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Um1bx6_reg (
- .clk(SCLK),
- .d(Uothu6),
- .sr(HRESETn),
- .q(Um1bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19332)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Umkax6_reg (
- .clk(HCLK),
- .d(Leohu6),
- .q(Umkax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18705)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Untpw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_r1_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(17688)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Unyax6_reg (
- .ce(n1112),
- .clk(HCLK),
- .d(HWDATA[30]),
- .sr(HRESETn),
- .q(Unyax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19020)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Uofax6_reg (
- .clk(DCLK),
- .d(Pkhpw6[1]),
- .sr(DBGRESETn),
- .q(Uofax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18363)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uoipw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_r11_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(17216)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uojbx6_reg (
- .clk(SCLK),
- .d(Mcuhu6),
- .q(Uojbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20209)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uoqax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_r2_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(18835)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Up4bx6_reg (
- .ce(n1110),
- .clk(HCLK),
- .d(HWDATA[14]),
- .sr(HRESETn),
- .q(Up4bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19650)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uqipw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Gfniu6),
- .q(vis_r11_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(17217)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uqqax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_r2_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(18836)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ureax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(E54iu6),
- .q(Ureax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18319)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Urgbx6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Kadbx6),
- .q(Urgbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20095)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Us3bx6_reg (
- .clk(SCLK),
- .d(Bxdpw6),
- .sr(HRESETn),
- .q(Us3bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19554)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uscax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(U64iu6),
- .q(Uscax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18271)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Usipw6_reg (
- .clk(HCLK),
- .d(Fxuhu6),
- .sr(HRESETn),
- .q(Usipw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17219)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Usjbx6_reg (
- .clk(HCLK),
- .d(Tbohu6),
- .q(Usjbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20211)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Usnpw6_reg (
- .clk(HCLK),
- .d(Dgphu6),
- .q(Usnpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17477)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Usqax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_r2_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(18837)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Utqpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Fuxhu6),
- .sr(Kxhpw6),
- .q(Utqpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17578)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uunpw6_reg (
- .clk(DCLK),
- .d(H2yhu6),
- .q(Uunpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17478)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uuqax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_r2_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(18838)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uuzpw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_msp_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(17911)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uvmax6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_r14_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(18766)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uwipw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_r0_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(17225)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uwqax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_r2_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(18839)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ux8bx6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(Q44iu6),
- .q(Ux8bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19805)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Uyqax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_r2_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(18840)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- V0cax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(Z54iu6),
- .q(V0cax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18251)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- V0jpw6_reg (
- .clk(SCLK),
- .d(Rbuhu6),
- .q(V0jpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17227)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- V1vax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_r4_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(18914)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- V1yax6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Tx8iu6),
- .q(vis_r3_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(18973)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- V3vax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_r4_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(18915)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- V52bx6_reg (
- .clk(SCLK),
- .d(U0phu6),
- .sr(HRESETn),
- .q(V52bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19386)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- V53qw6_reg (
- .clk(DCLK),
- .d(Sdphu6),
- .q(V53qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18023)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- V5abx6_reg (
- .ce(n1113),
- .clk(HCLK),
- .d(HWDATA[6]),
- .sr(HRESETn),
- .q(V5abx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19864)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- V5vax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_r4_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(18916)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- V6jax6_reg (
- .clk(HCLK),
- .d(Miohu6),
- .q(V6jax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18634)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- V73bx6_reg (
- .clk(SCLK),
- .d(Xyohu6),
- .sr(HRESETn),
- .q(V73bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19494)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- V7vax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_r4_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(18917)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- V9vax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_r4_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(18918)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Va7ax6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(E97ax6),
- .q(Va7ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18090)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Vbkpw6_reg (
- .clk(HCLK),
- .d(C6vhu6),
- .sr(HRESETn),
- .q(vis_ipsr_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(17292)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Vbspw6_reg (
- .ce(n1116),
- .clk(HCLK),
- .d(HWDATA[14]),
- .sr(HRESETn),
- .q(Vbspw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17645)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vbvax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_r4_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(18919)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vdvax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_r4_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(18920)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vefax6_reg (
- .clk(DCLK),
- .d(Edphu6),
- .q(Vefax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18357)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Veqax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_r2_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(18830)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vfvax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_r4_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(18921)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vgjpw6_reg (
- .clk(HCLK),
- .d(Oxohu6),
- .q(Vgjpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17240)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vgqax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_r2_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(18831)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vhspw6_reg (
- .ce(n3178),
- .clk(HCLK),
- .d(Jn7ow6),
- .q(Vhspw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17657)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vibax6_reg (
- .ce(n529),
- .clk(DCLK),
- .d(H34iu6),
- .q(Vibax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18226)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Viqax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_r2_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(18832)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vj3qw6_reg (
- .clk(DCLK),
- .d(R8xhu6),
- .q(Vj3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18041)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vkqax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_r2_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(18833)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Vkzax6_reg (
- .ce(n987),
- .clk(HCLK),
- .d(HWDATA[23]),
- .sr(HRESETn),
- .q(Vkzax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19116)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vlaax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(Pl4iu6),
- .q(Vlaax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18188)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vlkpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_r11_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(17301)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vltpw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_r0_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(17687)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vlxax6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(G3eiu6),
- .q(Vlxax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18965)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vmipw6_reg (
- .ce(n3178),
- .clk(HCLK),
- .d(Flyiu6),
- .q(Vmipw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17215)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vmqax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Uoliu6),
- .q(vis_r2_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(18834)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vn9bx6_reg (
- .clk(DCLK),
- .d(I7xhu6),
- .q(Vn9bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19819)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vnkpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_psp_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(17302)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Vpgbx6_reg (
- .ce(n1200),
- .clk(HCLK),
- .d(HWDATA[22]),
- .sr(HRESETn),
- .q(Vpgbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20090)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Vpkpw6_reg (
- .clk(DCLK),
- .d(Bfphu6),
- .sr(DBGRESETn),
- .q(Vpkpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17304)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vplpw6_reg (
- .ce(U03iu6),
- .clk(SWCLKTCK_pad),
- .d(Golpw6),
- .q(Vplpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17383)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vqgax6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(F94iu6),
- .q(Vqgax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18412)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vqjbx6_reg (
- .clk(HCLK),
- .d(Acohu6),
- .q(Vqjbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20210)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vrtpw6_reg (
- .clk(SCLK),
- .d(Fcuhu6),
- .q(Vrtpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17690)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vszpw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_msp_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(17910)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vtmax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(X6niu6),
- .q(vis_r10_o[8])); // ../rtl/topmodule/cortexm0ds_logic.v(18765)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vtuax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_r4_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(18910)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Vuhax6_reg (
- .clk(HCLK),
- .d(Ckohu6),
- .sr(HRESETn),
- .q(vis_pc_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(18540)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vuipw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_r11_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(17224)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vvuax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_r4_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(18911)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vvxax6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Vx9iu6),
- .q(vis_r3_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(18970)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vxuax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_r4_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(18912)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vxxax6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_r3_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(18971)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Vygax6_reg (
- .clk(HCLK),
- .d(U8vhu6),
- .sr(HRESETn),
- .q(Vygax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18438)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Vz8ax6_reg (
- .ce(Bs4iu6),
- .clk(DCLK),
- .d(Ud4iu6),
- .sr(DBGRESETn),
- .q(Vz8ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18148)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vzdax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(J44iu6),
- .q(Vzdax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18299)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Vzjpw6_reg (
- .clk(SCLK),
- .d(Fivhu6),
- .sr(HRESETn),
- .q(Vzjpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17281)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vzuax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_r4_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(18913)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Vzupw6_reg (
- .clk(HCLK),
- .d(Jrohu6),
- .sr(HRESETn),
- .q(Vzupw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17738)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Vzxax6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_r3_o[31])); // ../rtl/topmodule/cortexm0ds_logic.v(18972)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- W0dbx6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(Y84iu6),
- .q(W0dbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19966)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- W0jax6_reg (
- .clk(SCLK),
- .d(T4vhu6),
- .q(W0jax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18626)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- W2jax6_reg (
- .clk(SCLK),
- .d(A5vhu6),
- .q(W2jax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18627)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- W4aax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(K84iu6),
- .q(W4aax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18179)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- W4jax6_reg (
- .clk(HCLK),
- .d(Withu6),
- .sr(HRESETn),
- .q(W4jax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18629)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- W51bx6_reg (
- .clk(SCLK),
- .d(Gothu6),
- .sr(HRESETn),
- .q(W51bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19284)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- W5max6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_r10_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(18753)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- W5ypw6_reg (
- .clk(HCLK),
- .d(Yavhu6),
- .sr(HRESETn),
- .q(W5ypw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17861)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- W6ipw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Grxhu6),
- .q(W6ipw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17187)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- W7max6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Fyliu6),
- .q(vis_r10_o[21])); // ../rtl/topmodule/cortexm0ds_logic.v(18754)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- W8hbx6_reg (
- .clk(SCLK),
- .d(Yhvhu6),
- .q(W8hbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20104)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- W9max6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_r10_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(18755)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- W9spw6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_r8_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(17643)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wahbx6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(M94iu6),
- .q(Wahbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20105)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wbmax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(D4miu6),
- .q(vis_r10_o[19])); // ../rtl/topmodule/cortexm0ds_logic.v(18756)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wc2qw6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(T94iu6),
- .q(Wc2qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17972)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wcqax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_r2_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(18829)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wdmax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(C7miu6),
- .q(vis_r10_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(18757)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Weipw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_r1_o[30])); // ../rtl/topmodule/cortexm0ds_logic.v(17201)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wfcbx6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(R84iu6),
- .q(Wfcbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19950)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Wfhax6_reg (
- .clk(HCLK),
- .d(Gmohu6),
- .sr(HRESETn),
- .q(vis_pc_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(18492)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wfmax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_r10_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(18758)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Wfspw6_reg (
- .clk(HCLK),
- .d(S7vhu6),
- .sr(HRESETn),
- .q(Wfspw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17652)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Wgipw6_reg (
- .ce(n1200),
- .clk(HCLK),
- .d(HWDATA[30]),
- .sr(HRESETn),
- .q(Wgipw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17203)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Whmax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Admiu6),
- .q(vis_r10_o[16])); // ../rtl/topmodule/cortexm0ds_logic.v(18759)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Widax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(T94iu6),
- .q(Widax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18290)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wjmax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Rimiu6),
- .q(vis_r10_o[14])); // ../rtl/topmodule/cortexm0ds_logic.v(18760)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wjtpw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_r5_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(17686)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wjuax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_r4_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(18905)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Wkipw6_reg (
- .clk(HCLK),
- .d(Bithu6),
- .sr(HRESETn),
- .q(Wkipw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17210)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wlmax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Jlmiu6),
- .q(vis_r10_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(18761)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wlspw6_reg (
- .clk(SCLK),
- .d(Pauhu6),
- .q(Wlspw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17659)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wluax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_r4_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(18906)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Wmzax6_reg (
- .ce(n987),
- .clk(HCLK),
- .d(Fsdiu6),
- .sr(HRESETn),
- .q(Wmzax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19122)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wnmax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Bomiu6),
- .q(vis_r10_o[12])); // ../rtl/topmodule/cortexm0ds_logic.v(18762)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wnuax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_r4_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(18907)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wnxax6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(I4eiu6),
- .q(Wnxax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18966)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Woiax6_reg (
- .clk(SCLK),
- .d(Bpthu6),
- .sr(HRESETn),
- .q(Woiax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18616)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wpmax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Hymiu6),
- .q(vis_r10_o[10])); // ../rtl/topmodule/cortexm0ds_logic.v(18763)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wpuax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_r4_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(18908)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Wpyax6_reg (
- .ce(n1112),
- .clk(HCLK),
- .d(HWDATA[31]),
- .sr(HRESETn),
- .q(Wpyax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19026)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wq8ax6_reg (
- .clk(SWCLKTCK_pad),
- .d(Xwxhu6),
- .q(Wq8ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18132)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wqdbx6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(B74iu6),
- .q(Wqdbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19980)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wqzpw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_msp_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(17909)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Wr4bx6_reg (
- .ce(n1110),
- .clk(HCLK),
- .d(Fsdiu6),
- .sr(HRESETn),
- .q(Wr4bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19656)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wrmax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(K3niu6),
- .q(vis_r10_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(18764)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wruax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Uoliu6),
- .q(vis_r4_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(18909)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wt3qw6_reg (
- .clk(SWCLKTCK_pad),
- .d(M1yhu6),
- .q(Wt3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18047)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wtxax6_reg (
- .clk(HCLK),
- .d(Lgthu6),
- .q(Wtxax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18969)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Wu3bx6_reg (
- .ce(n1111),
- .clk(HCLK),
- .d(HWDATA[7]),
- .sr(HRESETn),
- .q(Wu3bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19560)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Wvgax6_reg (
- .ce(HREADY),
- .clk(DCLK),
- .d(n5754),
- .sr(DBGRESETn),
- .q(Wvgax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18426)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wwiax6_reg (
- .clk(SCLK),
- .d(F4vhu6),
- .q(Wwiax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18624)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wxgbx6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(W74iu6),
- .q(Wxgbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20098)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wxjpw6_reg (
- .ce(n3767),
- .clk(HCLK),
- .d(Z71ju6),
- .q(Wxjpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17279)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Wyiax6_reg (
- .clk(SCLK),
- .d(M4vhu6),
- .q(Wyiax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18625)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- X1max6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_r10_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(18751)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- X1upw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_r5_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(17695)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- X2jpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_r11_o[20])); // ../rtl/topmodule/cortexm0ds_logic.v(17228)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- X3max6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Uoliu6),
- .q(vis_r10_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(18752)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- X3upw6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_r8_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(17696)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- X42qw6_reg (
- .clk(DCLK),
- .d(S6phu6),
- .q(X42qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17963)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- X4jpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(E1miu6),
- .q(vis_psp_o[18])); // ../rtl/topmodule/cortexm0ds_logic.v(17229)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- X5bax6_reg (
- .ce(n532),
- .clk(DCLK),
- .d(O34iu6),
- .q(X5bax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18219)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- X5ibx6_reg (
- .clk(HCLK),
- .d(Glphu6),
- .sr(HRESETn),
- .q(vis_apsr_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(20162)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- X5opw6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[5]),
- .q(X5opw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17494)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- X5upw6_reg (
- .clk(HCLK),
- .d(Rwuhu6),
- .sr(HRESETn),
- .q(X5upw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17698)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- X6jpw6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[20]),
- .q(X6jpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17230)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- X7abx6_reg (
- .ce(n1114),
- .clk(HCLK),
- .d(HWDATA[6]),
- .sr(HRESETn),
- .q(X7abx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19870)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- X7spw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_r5_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(17642)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- X7ypw6_reg (
- .clk(HCLK),
- .d(L0vhu6),
- .sr(HRESETn),
- .q(X7ypw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17867)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Xaeax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(T24iu6),
- .sr(DBGRESETn),
- .q(Xaeax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18306)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xajbx6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(Ym4iu6),
- .q(Xajbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20187)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xaqax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_r2_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(18828)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Xbopw6_reg (
- .clk(SCLK),
- .d(N8vhu6),
- .sr(HRESETn),
- .q(SLEEPHOLDACKn)); // ../rtl/topmodule/cortexm0ds_logic.v(17503)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xc9ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(N64iu6),
- .q(Xc9ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18164)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xdcax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(Oh4iu6),
- .q(Xdcax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18258)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xdebx6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(I74iu6),
- .q(Xdebx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19992)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xdspw6_reg (
- .clk(SCLK),
- .d(O5vhu6),
- .q(Xdspw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17650)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xf8ax6_reg (
- .clk(SWCLKTCK_pad),
- .d(Lxxhu6),
- .q(Xf8ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18121)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Xfiax6_reg (
- .ce(n602),
- .clk(HCLK),
- .d(Oy8iu6),
- .sr(HRESETn),
- .q(vis_primask_o)); // ../rtl/topmodule/cortexm0ds_logic.v(18586)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xhtpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_r11_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(17685)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xhuax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_r4_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(18904)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xiipw6_reg (
- .clk(SCLK),
- .d(U1vhu6),
- .q(Xiipw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17208)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Xkqpw6_reg (
- .ce(n276),
- .clk(SWCLKTCK_pad),
- .d(Cjqpw6),
- .sr(Kxhpw6),
- .q(CDBGPWRUPREQ)); // ../rtl/topmodule/cortexm0ds_logic.v(17569)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xn7ax6_reg (
- .clk(DCLK),
- .d(K8xhu6),
- .q(Xn7ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18102)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Xnbax6_reg (
- .clk(DCLK),
- .d(X6vhu6),
- .sr(DBGRESETn),
- .q(Xnbax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18240)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Xo1bx6_reg (
- .clk(SCLK),
- .d(D2phu6),
- .sr(HRESETn),
- .q(Xo1bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19338)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Xozax6_reg (
- .ce(n987),
- .clk(HCLK),
- .d(HWDATA[14]),
- .sr(HRESETn),
- .q(Xozax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19128)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xozpw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_msp_o[1])); // ../rtl/topmodule/cortexm0ds_logic.v(17908)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xpeax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(S54iu6),
- .q(Xpeax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18318)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xpxax6_reg (
- .clk(HCLK),
- .d(Zcqhu6),
- .q(Xpxax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18967)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Xq2bx6_reg (
- .clk(SCLK),
- .d(Szohu6),
- .sr(HRESETn),
- .q(Xq2bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19446)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xqcax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(D84iu6),
- .q(Xqcax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18270)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xr9ax6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(Oh4iu6),
- .q(Xr9ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18172)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xrxax6_reg (
- .clk(HCLK),
- .d(Egthu6),
- .q(Xrxax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18968)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xttpw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_r0_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(17691)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xu2qw6_reg (
- .clk(DCLK),
- .d(Zdphu6),
- .q(Xu2qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18007)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xuiax6_reg (
- .clk(SCLK),
- .d(Y3vhu6),
- .q(Xuiax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18623)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xv8bx6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(Q44iu6),
- .q(Xv8bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19804)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xvlax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_r10_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(18748)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Xvqpw6_reg (
- .clk(DCLK),
- .d(Utqpw6),
- .sr(DBGRESETn),
- .q(Xvqpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17584)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xvtpw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_r1_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(17692)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xwaax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(O34iu6),
- .q(Xwaax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18194)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xx6bx6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Gw6bx6),
- .q(Xx6bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19764)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xxlax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_r10_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(18749)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Xxqpw6_reg (
- .clk(DCLK),
- .d(Xvqpw6),
- .sr(DBGRESETn),
- .q(Xxqpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17590)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xxtpw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_r9_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(17693)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Xxupw6_reg (
- .clk(HCLK),
- .d(Qrohu6),
- .sr(HRESETn),
- .q(Xxupw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17732)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xzlax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_r10_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(18750)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Xztpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_r11_o[26])); // ../rtl/topmodule/cortexm0ds_logic.v(17694)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Y0gbx6_reg (
- .clk(SCLK),
- .d(Zzohu6),
- .sr(HRESETn),
- .q(Y0gbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20028)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Y2fax6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(H34iu6),
- .q(Y2fax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18325)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Y5dax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(Pl4iu6),
- .q(Y5dax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18278)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Y5spw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_r11_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(17641)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Y72bx6_reg (
- .clk(SCLK),
- .d(Cmthu6),
- .sr(HRESETn),
- .q(Y72bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19392)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Y7opw6_reg (
- .clk(SCLK),
- .d(Oduhu6),
- .q(Y7opw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17495)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Y7upw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_r0_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(17703)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Y8lpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Rfxhu6),
- .q(Y8lpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17333)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Y8qax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_r2_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(18827)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Y9upw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_r1_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(17704)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ybupw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_r9_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(17705)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ydgax6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(Lm1iu6),
- .q(Ydgax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18405)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ydopw6_reg (
- .clk(HCLK),
- .d(Buohu6),
- .sr(HRESETn),
- .q(Ydopw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17509)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ydupw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_r11_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(17706)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yf1qw6_reg (
- .clk(DCLK),
- .d(N6xhu6),
- .q(Yf1qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17940)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yftpw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_r9_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(17684)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yfuax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_r4_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(18903)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yfupw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_r5_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(17707)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yhupw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Bamiu6),
- .q(vis_psp_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(17708)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yizpw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(D39iu6),
- .q(vis_msp_o[29])); // ../rtl/topmodule/cortexm0ds_logic.v(17905)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yjaax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(J44iu6),
- .q(Yjaax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18187)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yjupw6_reg (
- .ce(n1009),
- .clk(HCLK),
- .d(HWDATA[17]),
- .q(Yjupw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17709)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Yklpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Zehpw6[4]),
- .sr(Kxhpw6),
- .q(Yklpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17371)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ykzpw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(K39iu6),
- .q(vis_msp_o[28])); // ../rtl/topmodule/cortexm0ds_logic.v(17906)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ym3qw6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(U64iu6),
- .q(Ym3qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18043)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ymwpw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Hlwpw6),
- .q(Ymwpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17817)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ymzpw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Ef8iu6),
- .q(vis_msp_o[2])); // ../rtl/topmodule/cortexm0ds_logic.v(17907)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ynspw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_r0_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(17660)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yogax6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(F94iu6),
- .q(Yogax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18411)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ypspw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_r1_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(17661)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Yqzax6_reg (
- .ce(n987),
- .clk(HCLK),
- .d(HWDATA[7]),
- .sr(HRESETn),
- .q(Yqzax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19134)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yrspw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_r9_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(17662)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Yryax6_reg (
- .ce(n1116),
- .clk(HCLK),
- .d(Fsdiu6),
- .sr(HRESETn),
- .q(Yryax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19032)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ysiax6_reg (
- .clk(SCLK),
- .d(R3vhu6),
- .q(Ysiax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18622)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Yt4bx6_reg (
- .ce(n1110),
- .clk(HCLK),
- .d(HWDATA[23]),
- .sr(HRESETn),
- .q(Yt4bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19662)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yt8bx6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_psp_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(19803)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ytlax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_r10_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(18747)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ytspw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_r11_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(17663)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yubbx6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(G64iu6),
- .q(Yubbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19939)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yvabx6_reg (
- .ce(n394),
- .clk(DCLK),
- .d(L54iu6),
- .q(Yvabx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19896)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Yvjpw6_reg (
- .clk(HCLK),
- .d(Twohu6),
- .sr(HRESETn),
- .q(Yvjpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17274)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yvspw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_r5_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(17664)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Yw3bx6_reg (
- .ce(n1111),
- .clk(HCLK),
- .d(HWDATA[14]),
- .sr(HRESETn),
- .q(Yw3bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19566)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yxdax6_reg (
- .ce(n526),
- .clk(DCLK),
- .d(X44iu6),
- .q(Yxdax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18298)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Yxrpw6_reg (
- .clk(SCLK),
- .d(W1phu6),
- .sr(HRESETn),
- .q(Yxrpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17633)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yxspw6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_r8_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(17665)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yybax6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(N64iu6),
- .q(Yybax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18250)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yzlpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(C3yhu6),
- .q(Yzlpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17404)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Yzqpw6_reg (
- .ce(C53iu6),
- .clk(SWCLKTCK_pad),
- .d(Zwnpw6),
- .q(Yzqpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17595)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Yzspw6_reg (
- .clk(HCLK),
- .d(Tivhu6),
- .sr(HRESETn),
- .q(Yzspw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17667)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z18bx6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_r9_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(19789)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z1tpw6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_r0_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(17672)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z2aax6_reg (
- .ce(n530),
- .clk(DCLK),
- .d(T94iu6),
- .q(Z2aax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18178)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z38bx6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_r10_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(19790)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z3spw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_r9_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(17640)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z3tpw6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_r1_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(17673)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z47ax6_reg (
- .clk(HCLK),
- .d(Dmqhu6),
- .q(Z47ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18087)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z58bx6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_r11_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(19791)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z5tpw6_reg (
- .ce(Ltmiu6),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_r9_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(17674)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z67ax6_reg (
- .clk(DCLK),
- .d(Taphu6),
- .q(Z67ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18088)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z6qax6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Jgkiu6),
- .q(vis_r2_o[3])); // ../rtl/topmodule/cortexm0ds_logic.v(18826)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Z71bx6_reg (
- .clk(SCLK),
- .d(R2phu6),
- .sr(HRESETn),
- .q(Z71bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19290)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z73qw6_reg (
- .clk(SWCLKTCK_pad),
- .d(Psxhu6),
- .q(Z73qw6)); // ../rtl/topmodule/cortexm0ds_logic.v(18024)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z78bx6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_r4_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(19792)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z7tpw6_reg (
- .ce(Etmiu6),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_r11_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(17675)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z8jpw6_reg (
- .clk(SCLK),
- .d(N9uhu6),
- .q(Z8jpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17231)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z8zpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Zvkiu6),
- .q(vis_psp_o[22])); // ../rtl/topmodule/cortexm0ds_logic.v(17900)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z98bx6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_r5_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(19793)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Z9abx6_reg (
- .ce(n1115),
- .clk(HCLK),
- .d(HWDATA[6]),
- .sr(HRESETn),
- .q(Z9abx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19876)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Z9opw6_reg (
- .clk(SCLK),
- .d(J4xhu6),
- .sr(HRESETn),
- .q(Z9opw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17497)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Z9tpw6_reg (
- .ce(Qsmiu6),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_r5_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(17676)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zazpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Fzkiu6),
- .q(vis_psp_o[24])); // ../rtl/topmodule/cortexm0ds_logic.v(17901)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zb8bx6_reg (
- .ce(n1573),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_r6_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(19794)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zbtpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Hsliu6),
- .q(vis_psp_o[23])); // ../rtl/topmodule/cortexm0ds_logic.v(17677)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zczpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(X1liu6),
- .q(vis_psp_o[25])); // ../rtl/topmodule/cortexm0ds_logic.v(17902)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zd8bx6_reg (
- .ce(Jsmiu6),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_r7_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(19795)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zdcbx6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(R84iu6),
- .q(Zdcbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19949)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Zdhax6_reg (
- .clk(HCLK),
- .d(Nmohu6),
- .sr(HRESETn),
- .q(vis_pc_o[17])); // ../rtl/topmodule/cortexm0ds_logic.v(18486)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Zdiax6_reg (
- .ce(HREADY),
- .clk(HCLK),
- .d(Mihow6),
- .sr(HRESETn),
- .q(Zdiax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18580)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Zdtpw6_reg (
- .clk(SCLK),
- .d(Qmthu6),
- .sr(HRESETn),
- .q(Zdtpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17679)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zduax6_reg (
- .ce(Xsmiu6),
- .clk(HCLK),
- .d(Rkkiu6),
- .q(vis_r4_o[5])); // ../rtl/topmodule/cortexm0ds_logic.v(18902)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zezpw6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(P4liu6),
- .q(vis_psp_o[27])); // ../rtl/topmodule/cortexm0ds_logic.v(17903)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zf8bx6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_r12_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(19796)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zgbax6_reg (
- .ce(n529),
- .clk(DCLK),
- .d(Df4iu6),
- .q(Zgbax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18225)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zgfax6_reg (
- .clk(SWCLKTCK_pad),
- .d(Dtxhu6),
- .q(Zgfax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18358)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zgzpw6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Qcaiu6),
- .q(vis_msp_o[0])); // ../rtl/topmodule/cortexm0ds_logic.v(17904)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zh8bx6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_r14_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(19797)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zj8bx6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_msp_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(19798)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zl8bx6_reg (
- .ce(Ydkiu6),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_psp_o[13])); // ../rtl/topmodule/cortexm0ds_logic.v(19799)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zl9bx6_reg (
- .ce(n525),
- .clk(DCLK),
- .d(Xi4iu6),
- .q(Zl9bx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19818)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Zm8ax6_reg (
- .clk(DCLK),
- .d(H3xhu6),
- .sr(DBGRESETn),
- .q(Zm8ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18126)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zn8bx6_reg (
- .ce(Csmiu6),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_r12_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(19800)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zodbx6_reg (
- .ce(n528),
- .clk(DCLK),
- .d(B74iu6),
- .q(Zodbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19979)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zp8bx6_reg (
- .ce(n1571),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_r14_o[11])); // ../rtl/topmodule/cortexm0ds_logic.v(19801)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zqiax6_reg (
- .clk(SCLK),
- .d(K3vhu6),
- .q(Zqiax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18621)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zr7bx6_reg (
- .ce(Numiu6),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_r0_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(19784)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zr8bx6_reg (
- .ce(n1568),
- .clk(HCLK),
- .d(Vrmiu6),
- .q(vis_msp_o[9])); // ../rtl/topmodule/cortexm0ds_logic.v(19802)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zrlax6_reg (
- .ce(n1577),
- .clk(HCLK),
- .d(Sokiu6),
- .q(vis_r10_o[6])); // ../rtl/topmodule/cortexm0ds_logic.v(18746)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("SET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Zshax6_reg (
- .clk(HCLK),
- .d(Jkohu6),
- .sr(HRESETn),
- .q(vis_pc_o[4])); // ../rtl/topmodule/cortexm0ds_logic.v(18534)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zslpw6_reg (
- .ce(U03iu6),
- .clk(SWCLKTCK_pad),
- .d(Krlpw6),
- .q(Zslpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17385)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Zszax6_reg (
- .ce(n1008),
- .clk(HCLK),
- .d(HWDATA[0]),
- .sr(HRESETn),
- .q(Zszax6)); // ../rtl/topmodule/cortexm0ds_logic.v(19140)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zt7bx6_reg (
- .ce(Gumiu6),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_r1_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(19785)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Ztgbx6_reg (
- .clk(HCLK),
- .d(Kavhu6),
- .q(Ztgbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20096)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Ztupw6_reg (
- .clk(HCLK),
- .d(Fbvhu6),
- .sr(HRESETn),
- .q(Ztupw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17720)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zv7bx6_reg (
- .ce(n1580),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_r2_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(19786)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zvgbx6_reg (
- .ce(n533),
- .clk(DCLK),
- .d(W74iu6),
- .q(Zvgbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(20097)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zvrpw6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Drkiu6),
- .q(vis_r8_o[7])); // ../rtl/topmodule/cortexm0ds_logic.v(17631)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zwnpw6_reg (
- .clk(SWCLKTCK_pad),
- .d(A2yhu6),
- .q(Zwnpw6)); // ../rtl/topmodule/cortexm0ds_logic.v(17479)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zx7bx6_reg (
- .ce(Ztmiu6),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_r3_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(19787)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- Zx8ax6_reg (
- .ce(Bs4iu6),
- .clk(DCLK),
- .d(T24iu6),
- .sr(DBGRESETn),
- .q(Zx8ax6)); // ../rtl/topmodule/cortexm0ds_logic.v(18142)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zycbx6_reg (
- .ce(n527),
- .clk(DCLK),
- .d(Y84iu6),
- .q(Zycbx6)); // ../rtl/topmodule/cortexm0ds_logic.v(19965)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- Zz7bx6_reg (
- .ce(Stmiu6),
- .clk(HCLK),
- .d(Zfmiu6),
- .q(vis_r8_o[15])); // ../rtl/topmodule/cortexm0ds_logic.v(19788)
- EG_PHY_PAD #(
- //.HYSTERESIS("OFF"),
- //.LOCATION("R2"),
- //.PCICLAMP("OFF"),
- //.PULLMODE("PULLUP"),
- .IOTYPE("LVCMOS33"),
- .MODE("IN"),
- .TSMUX("1"))
- _al_u0 (
- .ipad(SWCLKTCK),
- .di(SWCLKTCK_pad)); // ../rtl/topmodule/cortexm0ds_logic.v(79)
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1 (
- .a(HREADY),
- .b(U8jax6),
- .o(n4330));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u10 (
- .a(Vzjpw6),
- .b(Zqiax6),
- .o(Vnfpw6[1]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u100 (
- .a(Eg7iu6),
- .b(Dg2qw6),
- .o(n531));
- AL_MAP_LUT4 #(
- .EQN("~(C*~B*~(D*A))"),
- .INIT(16'hefcf))
- _al_u1000 (
- .a(Vk1iu6),
- .b(_al_u983_o),
- .c(Rh2iu6),
- .d(Cydbx6),
- .o(Bzxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u1001 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Bcdbx6),
- .d(Sddbx6),
- .o(Ig2iu6));
- AL_MAP_LUT4 #(
- .EQN("~(C*~B*~(D*A))"),
- .INIT(16'hefcf))
- _al_u1002 (
- .a(Vk1iu6),
- .b(_al_u983_o),
- .c(Ig2iu6),
- .d(Jhebx6),
- .o(Izxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'h23ef))
- _al_u1003 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Bcdbx6),
- .d(Kadbx6),
- .o(_al_u1003_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*A))"),
- .INIT(8'hb3))
- _al_u1004 (
- .a(Vk1iu6),
- .b(_al_u1003_o),
- .c(Qwfbx6),
- .o(Pzxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'h23ef))
- _al_u1005 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Kadbx6),
- .d(Stkpw6),
- .o(Xd2iu6));
- AL_MAP_LUT4 #(
- .EQN("~(C*~B*~(D*A))"),
- .INIT(16'hefcf))
- _al_u1006 (
- .a(Vk1iu6),
- .b(_al_u983_o),
- .c(Xd2iu6),
- .d(F8dbx6),
- .o(Wzxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u1007 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Kn2qw6),
- .d(Stkpw6),
- .o(Oc2iu6));
- AL_MAP_LUT4 #(
- .EQN("~(C*~B*~(D*A))"),
- .INIT(16'hefcf))
- _al_u1008 (
- .a(Vk1iu6),
- .b(_al_u983_o),
- .c(Oc2iu6),
- .d(Nrkpw6),
- .o(D0yhu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u1009 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(J4cbx6),
- .d(Kn2qw6),
- .o(Fb2iu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u101 (
- .a(Pjyiu6),
- .b(R9yax6),
- .c(W5ypw6),
- .d(Ztupw6),
- .o(I3fiu6));
- AL_MAP_LUT4 #(
- .EQN("~(C*~B*~(D*A))"),
- .INIT(16'hefcf))
- _al_u1010 (
- .a(Vk1iu6),
- .b(_al_u983_o),
- .c(Fb2iu6),
- .d(Fl2qw6),
- .o(K0yhu6));
- AL_MAP_LUT4 #(
- .EQN("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'h23ef))
- _al_u1011 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(J4cbx6),
- .d(S2cbx6),
- .o(P92iu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u1012 (
- .a(_al_u632_o),
- .b(Yn3iu6_lutinv),
- .c(Oulpw6),
- .d(Vplpw6),
- .o(Cl1iu6));
- AL_MAP_LUT5 #(
- .EQN("~(B*~(E*C)*~(D*A))"),
- .INIT(32'hfbf3bb33))
- _al_u1013 (
- .a(Vk1iu6),
- .b(P92iu6),
- .c(Cl1iu6),
- .d(Cncbx6),
- .e(Hpcbx6),
- .o(R0yhu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u1014 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Nfqpw6),
- .d(S2cbx6),
- .o(G82iu6));
- AL_MAP_LUT4 #(
- .EQN("~(C*~B*~(D*A))"),
- .INIT(16'hefcf))
- _al_u1015 (
- .a(Vk1iu6),
- .b(_al_u983_o),
- .c(G82iu6),
- .d(N0cbx6),
- .o(Y0yhu6));
- AL_MAP_LUT4 #(
- .EQN("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'h23ef))
- _al_u1016 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Nfqpw6),
- .d(Wt3qw6),
- .o(V52iu6));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(D*B)*~(E*A))"),
- .INIT(32'hefafcf0f))
- _al_u1017 (
- .a(Vk1iu6),
- .b(Cl1iu6),
- .c(V52iu6),
- .d(Ehqpw6),
- .e(Idqpw6),
- .o(F1yhu6));
- AL_MAP_LUT5 #(
- .EQN("(D*A*~(C*~(E*B)))"),
- .INIT(32'h8a000a00))
- _al_u1018 (
- .a(Cl1iu6),
- .b(Cjqpw6),
- .c(Ehqpw6),
- .d(T0ipw6),
- .e(CDBGPWRUPREQ),
- .o(_al_u1018_o));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u1019 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(C72qw6),
- .d(Wt3qw6),
- .o(P22iu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u102 (
- .a(I3fiu6),
- .b(C1wpw6),
- .o(n1116));
- AL_MAP_LUT4 #(
- .EQN("~(C*~A*~(D*B))"),
- .INIT(16'hefaf))
- _al_u1020 (
- .a(_al_u1018_o),
- .b(Vk1iu6),
- .c(P22iu6),
- .d(Rr3qw6),
- .o(M1yhu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1021 (
- .a(Cl1iu6),
- .b(T82qw6),
- .o(_al_u1021_o));
- AL_MAP_LUT4 #(
- .EQN("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'h23ef))
- _al_u1022 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(C72qw6),
- .d(Zwnpw6),
- .o(S02iu6));
- AL_MAP_LUT4 #(
- .EQN("~(C*~A*~(D*B))"),
- .INIT(16'hefaf))
- _al_u1023 (
- .a(_al_u1021_o),
- .b(Vk1iu6),
- .c(S02iu6),
- .d(X42qw6),
- .o(T1yhu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u1024 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Rilpw6),
- .d(Zwnpw6),
- .o(Ay1iu6));
- AL_MAP_LUT4 #(
- .EQN("~(C*~A*~(D*B))"),
- .INIT(16'hefaf))
- _al_u1025 (
- .a(_al_u1021_o),
- .b(Vk1iu6),
- .c(Ay1iu6),
- .d(Uunpw6),
- .o(A2yhu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u1026 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Qa1qw6),
- .d(Yzlpw6),
- .o(Tj1iu6));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(E*B)*~(D*A))"),
- .INIT(32'hefcfaf0f))
- _al_u1027 (
- .a(Vk1iu6),
- .b(Cl1iu6),
- .c(Tj1iu6),
- .d(Nckbx6),
- .e(Ry2qw6),
- .o(C3yhu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u1028 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Bx2qw6),
- .d(Li7ax6),
- .o(Yg3iu6));
- AL_MAP_LUT4 #(
- .EQN("~(C*~B*~(D*A))"),
- .INIT(16'hefcf))
- _al_u1029 (
- .a(Vk1iu6),
- .b(_al_u983_o),
- .c(Yg3iu6),
- .d(Hg7ax6),
- .o(Urxhu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u103 (
- .a(Vynow6_lutinv),
- .b(R9yax6),
- .c(W5ypw6),
- .d(Ztupw6),
- .o(E1fiu6));
- AL_MAP_LUT4 #(
- .EQN("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'h23ef))
- _al_u1030 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Bx2qw6),
- .d(Z73qw6),
- .o(_al_u1030_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*A))"),
- .INIT(8'hb3))
- _al_u1031 (
- .a(Vk1iu6),
- .b(_al_u1030_o),
- .c(Xu2qw6),
- .o(Bsxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u1032 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(D2opw6),
- .d(Z73qw6),
- .o(Ed3iu6));
- AL_MAP_LUT4 #(
- .EQN("~(C*~B*~(D*A))"),
- .INIT(16'hefcf))
- _al_u1033 (
- .a(Vk1iu6),
- .b(_al_u983_o),
- .c(Ed3iu6),
- .d(V53qw6),
- .o(Psxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'h23ef))
- _al_u1034 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Qa1qw6),
- .d(Qj1qw6),
- .o(_al_u1034_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*A))"),
- .INIT(8'hb3))
- _al_u1035 (
- .a(Vk1iu6),
- .b(_al_u1034_o),
- .c(M81qw6),
- .o(Vvxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u1036 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(L0ypw6),
- .d(Qj1qw6),
- .o(_al_u1036_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*A))"),
- .INIT(8'hb3))
- _al_u1037 (
- .a(Vk1iu6),
- .b(_al_u1036_o),
- .c(Mh1qw6),
- .o(Cwxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u1038 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Gw6bx6),
- .d(L0ypw6),
- .o(Gt2iu6));
- AL_MAP_LUT4 #(
- .EQN("~(C*~B*~(D*A))"),
- .INIT(16'hefcf))
- _al_u1039 (
- .a(Vk1iu6),
- .b(_al_u983_o),
- .c(Gt2iu6),
- .d(Gyxpw6),
- .o(Jwxhu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u104 (
- .a(E1fiu6),
- .b(C1wpw6),
- .o(n1112));
- AL_MAP_LUT4 #(
- .EQN("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'h23ef))
- _al_u1040 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Gw6bx6),
- .d(Wq8ax6),
- .o(_al_u1040_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*A))"),
- .INIT(8'hb3))
- _al_u1041 (
- .a(Vk1iu6),
- .b(_al_u1040_o),
- .c(Bu6bx6),
- .o(Qwxhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1042 (
- .a(Vk1iu6),
- .b(Cl1iu6),
- .c(Gylpw6),
- .d(Vefax6),
- .o(_al_u1042_o));
- AL_MAP_LUT5 #(
- .EQN("~((E*~C)*~((D*B))*~(A)+(E*~C)*(D*B)*~(A)+~((E*~C))*(D*B)*A+(E*~C)*(D*B)*A)"),
- .INIT(32'h72fa77ff))
- _al_u1043 (
- .a(_al_u632_o),
- .b(Yn3iu6_lutinv),
- .c(_al_u981_o),
- .d(Oulpw6),
- .e(Zgfax6),
- .o(_al_u1043_o));
- AL_MAP_LUT5 #(
- .EQN("~(C*~B*A*~(E*D))"),
- .INIT(32'hffdfdfdf))
- _al_u1044 (
- .a(_al_u1042_o),
- .b(_al_u983_o),
- .c(_al_u1043_o),
- .d(_al_u981_o),
- .e(Yzlpw6),
- .o(Dtxhu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u1045 (
- .a(Ahlpw6),
- .b(Pmlpw6),
- .c(Sdlpw6),
- .d(Yklpw6),
- .o(_al_u1045_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h5410))
- _al_u1046 (
- .a(_al_u1045_o),
- .b(Ahlpw6),
- .c(Pmlpw6),
- .d(Rilpw6),
- .o(T8yhu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(A*(C@(D*B)))"),
- .INIT(16'h28a0))
- _al_u1047 (
- .a(T8yhu6_lutinv),
- .b(_al_u653_o),
- .c(Pmlpw6),
- .d(Yklpw6),
- .o(_al_u1047_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1048 (
- .a(Kalpw6),
- .b(Sdlpw6),
- .o(Flzhu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1049 (
- .a(Flzhu6_lutinv),
- .b(Jflpw6),
- .c(Krlpw6),
- .o(W6yhu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(C*(~(A)*~(B)*~(D)+A*B*D))"),
- .INIT(16'h8010))
- _al_u105 (
- .a(D2opw6),
- .b(Yzlpw6),
- .c(Z73qw6),
- .d(Zgfax6),
- .o(Ne3iu6));
- AL_MAP_LUT5 #(
- .EQN("(~E*C*~(~A*~(D*B)))"),
- .INIT(32'h0000e0a0))
- _al_u1050 (
- .a(_al_u653_o),
- .b(W6yhu6_lutinv),
- .c(U5yhu6),
- .d(Bclpw6),
- .e(Yklpw6),
- .o(_al_u1050_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*~A*~(D*C))"),
- .INIT(16'hfeee))
- _al_u1051 (
- .a(_al_u1047_o),
- .b(_al_u1050_o),
- .c(_al_u1045_o),
- .d(Rilpw6),
- .o(Zehpw6[5]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1052 (
- .a(_al_u721_o),
- .b(Umkax6),
- .o(_al_u1052_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1053 (
- .a(_al_u1052_o),
- .b(V6jax6),
- .o(Eoyiu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u1054 (
- .a(Eoyiu6_lutinv),
- .b(_al_u391_o),
- .c(P5vpw6),
- .d(Ubypw6),
- .o(_al_u1054_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~(~B*~A))"),
- .INIT(16'he000))
- _al_u1055 (
- .a(_al_u386_o),
- .b(_al_u400_o),
- .c(Ssjax6),
- .d(W4jax6),
- .o(_al_u1055_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*C)*~(E*B))"),
- .INIT(32'h01110555))
- _al_u1056 (
- .a(_al_u1055_o),
- .b(A95iu6_lutinv),
- .c(_al_u156_o),
- .d(Rkkax6),
- .e(Wkipw6),
- .o(_al_u1056_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*B*~(D*~A))"),
- .INIT(16'h7f3f))
- _al_u1057 (
- .a(_al_u1054_o),
- .b(Gpyiu6),
- .c(_al_u1056_o),
- .d(L45iu6_lutinv),
- .o(Flyiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1058 (
- .a(Hirpw6),
- .b(P5vpw6),
- .o(Pu1ju6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1059 (
- .a(Pu1ju6_lutinv),
- .b(Aujpw6),
- .o(_al_u1059_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u106 (
- .a(Q4wiu6_lutinv),
- .b(D43qw6),
- .c(Pe7ax6),
- .o(_al_u106_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u1060 (
- .a(_al_u1059_o),
- .b(Ae0iu6_lutinv),
- .c(R3vpw6),
- .o(_al_u1060_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1061 (
- .a(_al_u1060_o),
- .b(_al_u154_o),
- .o(_al_u1061_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1062 (
- .a(vis_ipsr_o[0]),
- .b(vis_ipsr_o[1]),
- .o(_al_u1062_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1063 (
- .a(T8row6),
- .b(_al_u1062_o),
- .o(_al_u1063_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1064 (
- .a(_al_u1061_o),
- .b(_al_u1063_o),
- .c(Hdfax6),
- .o(_al_u1064_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~A*~(C*B)))"),
- .INIT(16'hea00))
- _al_u1065 (
- .a(_al_u1064_o),
- .b(_al_u411_o),
- .c(Eafax6),
- .d(Gr2qw6),
- .o(_al_u1065_o));
- AL_MAP_LUT4 #(
- .EQN("~(~A*~(D*~(C*B)))"),
- .INIT(16'hbfaa))
- _al_u1066 (
- .a(_al_u1065_o),
- .b(Scbiu6),
- .c(Df4iu6),
- .d(Thiax6),
- .o(Frthu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1067 (
- .a(_al_u705_o),
- .b(R3vpw6),
- .o(_al_u1067_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1068 (
- .a(_al_u1067_o),
- .b(Daiax6),
- .o(_al_u1068_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1069 (
- .a(_al_u1068_o),
- .b(Aujpw6),
- .o(_al_u1069_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u107 (
- .a(Hw8ax6),
- .b(Sqwpw6),
- .c(Zm8ax6),
- .o(Cvciu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1070 (
- .a(Ae0iu6_lutinv),
- .b(D6kiu6_lutinv),
- .o(_al_u1070_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u1071 (
- .a(_al_u1070_o),
- .b(Fq8iu6),
- .c(R3vpw6),
- .d(T1vpw6),
- .o(_al_u1071_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~A*~(C*B)))"),
- .INIT(16'hea00))
- _al_u1072 (
- .a(T23ju6_lutinv),
- .b(_al_u410_o),
- .c(Hirpw6),
- .d(P5vpw6),
- .o(_al_u1072_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u1073 (
- .a(_al_u142_o),
- .b(Fq8iu6),
- .c(Aujpw6),
- .d(R3vpw6),
- .o(_al_u1073_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u1074 (
- .a(_al_u1069_o),
- .b(_al_u1071_o),
- .c(_al_u1072_o),
- .d(_al_u1073_o),
- .o(Uzaiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1075 (
- .a(B6cpw6),
- .b(vis_ipsr_o[0]),
- .o(_al_u1075_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~A*~(C*~B))"),
- .INIT(16'h4500))
- _al_u1076 (
- .a(n3724),
- .b(F9vpw6),
- .c(I8lax6),
- .d(Vygax6),
- .o(_al_u1076_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~D*~(B*A)))"),
- .INIT(16'hf080))
- _al_u1077 (
- .a(Uzaiu6),
- .b(_al_u1075_o),
- .c(_al_u1076_o),
- .d(I8lax6),
- .o(_al_u1077_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(~C*~A))"),
- .INIT(8'hc8))
- _al_u1078 (
- .a(Wofiu6_lutinv),
- .b(HREADY),
- .c(Ydopw6),
- .o(_al_u1078_o));
- AL_MAP_LUT3 #(
- .EQN("~(~A*~(C*~B))"),
- .INIT(8'hba))
- _al_u1079 (
- .a(_al_u1077_o),
- .b(_al_u1078_o),
- .c(Qakbx6),
- .o(Rqthu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u108 (
- .a(_al_u106_o),
- .b(Cvciu6),
- .o(Yc7iu6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u1080 (
- .a(I8lax6),
- .b(P5vpw6),
- .c(Vygax6),
- .o(_al_u1080_o));
- AL_MAP_LUT4 #(
- .EQN("(C*(~D*~(B)*~(A)+~D*B*~(A)+~(~D)*B*A+~D*B*A))"),
- .INIT(16'h80d0))
- _al_u1081 (
- .a(Uzaiu6),
- .b(_al_u1063_o),
- .c(_al_u1080_o),
- .d(vis_pc_o[1]),
- .o(_al_u1081_o));
- AL_MAP_LUT4 #(
- .EQN("~(~(D*~B)*~(C*A))"),
- .INIT(16'hb3a0))
- _al_u1082 (
- .a(_al_u1081_o),
- .b(_al_u1078_o),
- .c(HREADY),
- .d(Halax6),
- .o(Z7vhu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1083 (
- .a(_al_u956_o),
- .b(_al_u957_o),
- .o(_al_u1083_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u1084 (
- .a(_al_u156_o),
- .b(_al_u194_o),
- .c(Ldoiu6_lutinv),
- .d(Vzupw6),
- .o(_al_u1084_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1085 (
- .a(R3vpw6),
- .b(Ufopw6),
- .o(_al_u1085_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~A*~(C*B)))"),
- .INIT(16'h00ea))
- _al_u1086 (
- .a(_al_u1084_o),
- .b(_al_u1085_o),
- .c(_al_u690_o),
- .d(Hirpw6),
- .o(_al_u1086_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1087 (
- .a(Gr2qw6),
- .b(Isjpw6),
- .c(SLEEPHOLDACKn),
- .o(_al_u1087_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1088 (
- .a(_al_u1087_o),
- .b(M8fax6),
- .o(Nsoiu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1089 (
- .a(Nsoiu6_lutinv),
- .b(_al_u705_o),
- .c(Frziu6_lutinv),
- .o(_al_u1089_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u109 (
- .a(Yc7iu6),
- .b(Dg2qw6),
- .o(n524));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1090 (
- .a(Vzupw6),
- .b(Ydopw6),
- .o(D1piu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1091 (
- .a(P5vpw6),
- .b(T1vpw6),
- .o(_al_u1091_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u1092 (
- .a(D1piu6_lutinv),
- .b(_al_u1091_o),
- .c(Aujpw6),
- .o(_al_u1092_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1093 (
- .a(P5vpw6),
- .b(Ydopw6),
- .o(Yljiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1094 (
- .a(Yljiu6),
- .b(Hirpw6),
- .o(_al_u1094_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1095 (
- .a(R3vpw6),
- .b(Xxupw6),
- .o(_al_u1095_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u1096 (
- .a(_al_u1092_o),
- .b(_al_u1094_o),
- .c(Vo3ju6_lutinv),
- .d(_al_u1095_o),
- .o(_al_u1096_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1097 (
- .a(R3vpw6),
- .b(Yvjpw6),
- .o(_al_u1097_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1098 (
- .a(_al_u705_o),
- .b(_al_u1097_o),
- .c(Hirpw6),
- .o(_al_u1098_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1099 (
- .a(Ufopw6),
- .b(Yvjpw6),
- .o(_al_u1099_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u11 (
- .a(Dugax6),
- .b(Ksgax6),
- .o(E4yhu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u110 (
- .a(Hw8ax6),
- .b(Sqwpw6),
- .c(Zm8ax6),
- .o(K0xiu6));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*D)*~(C*B))"),
- .INIT(32'h00151515))
- _al_u1100 (
- .a(_al_u1098_o),
- .b(_al_u148_o),
- .c(Mfjiu6),
- .d(Pu1ju6_lutinv),
- .e(_al_u1099_o),
- .o(_al_u1100_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*~C*~B*~A)"),
- .INIT(32'h01000000))
- _al_u1101 (
- .a(_al_u1083_o),
- .b(_al_u1086_o),
- .c(_al_u1089_o),
- .d(_al_u1096_o),
- .e(_al_u1100_o),
- .o(_al_u1101_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u1102 (
- .a(_al_u398_o),
- .b(Ya1ju6_lutinv),
- .c(Dxvpw6),
- .d(Ufopw6),
- .o(Nu9ow6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1103 (
- .a(Nu9ow6),
- .b(P5vpw6),
- .o(_al_u1103_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(D*B)))"),
- .INIT(16'hd050))
- _al_u1104 (
- .a(_al_u1101_o),
- .b(_al_u1103_o),
- .c(HREADY),
- .d(Wkipw6),
- .o(Jy9iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1105 (
- .a(Tezhu6),
- .b(Vplpw6),
- .o(Di1iu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~B*~(C*A)))"),
- .INIT(16'hec00))
- _al_u1106 (
- .a(Di1iu6),
- .b(B7lpw6),
- .c(L5lpw6),
- .d(Ryfax6),
- .o(Fwohu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1107 (
- .a(_al_u130_o),
- .b(Kalpw6),
- .o(V8zhu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u1108 (
- .a(Flzhu6_lutinv),
- .b(Bclpw6),
- .c(Jflpw6),
- .o(A1zhu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C*A))"),
- .INIT(8'h13))
- _al_u1109 (
- .a(V8zhu6_lutinv),
- .b(A1zhu6_lutinv),
- .c(Jflpw6),
- .o(I6yhu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u111 (
- .a(Avwiu6),
- .b(K0xiu6),
- .o(Jf7iu6));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*C*~B))"),
- .INIT(16'haa8a))
- _al_u1110 (
- .a(I6yhu6_lutinv),
- .b(_al_u615_o),
- .c(W6yhu6_lutinv),
- .d(Yklpw6),
- .o(_al_u1110_o));
- AL_MAP_LUT5 #(
- .EQN("~(~B*~(E*D)*~(C*~A))"),
- .INIT(32'hffdcdcdc))
- _al_u1111 (
- .a(_al_u1110_o),
- .b(_al_u632_o),
- .c(U5yhu6),
- .d(_al_u981_o),
- .e(SWDOEN),
- .o(I5nhu6));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C*A))"),
- .INIT(8'h13))
- _al_u1112 (
- .a(_al_u627_o),
- .b(Z63iu6_lutinv),
- .c(Krlpw6),
- .o(S63iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("~(~A*~(D*~(C*B)))"),
- .INIT(16'hbfaa))
- _al_u1113 (
- .a(_al_u660_o),
- .b(_al_u632_o),
- .c(S63iu6_lutinv),
- .d(Ryfax6),
- .o(Rtxhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1114 (
- .a(Vk1iu6),
- .b(Cl1iu6),
- .c(H3lpw6),
- .d(Kwlpw6),
- .o(_al_u1114_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1115 (
- .a(_al_u983_o),
- .b(_al_u981_o),
- .c(W6ipw6),
- .o(_al_u1115_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1116 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .o(Xl1iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u1117 (
- .a(_al_u1114_o),
- .b(_al_u1115_o),
- .c(Xl1iu6_lutinv),
- .d(L5lpw6),
- .o(Zqxhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1118 (
- .a(Vk1iu6),
- .b(Cl1iu6),
- .c(A5ipw6),
- .d(L9bbx6),
- .o(_al_u1118_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1119 (
- .a(_al_u983_o),
- .b(_al_u981_o),
- .c(Li7ax6),
- .o(_al_u1119_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u112 (
- .a(Jf7iu6),
- .b(Dg2qw6),
- .o(n529));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u1120 (
- .a(_al_u1118_o),
- .b(_al_u1119_o),
- .c(Xl1iu6_lutinv),
- .d(W6ipw6),
- .o(Grxhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1121 (
- .a(Vk1iu6),
- .b(Cl1iu6),
- .c(Ceabx6),
- .d(I0opw6),
- .o(_al_u1121_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1122 (
- .a(_al_u983_o),
- .b(_al_u981_o),
- .c(Zgfax6),
- .o(_al_u1122_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u1123 (
- .a(_al_u1121_o),
- .b(_al_u1122_o),
- .c(Xl1iu6_lutinv),
- .d(D2opw6),
- .o(Wsxhu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*A)"),
- .INIT(16'h0200))
- _al_u1124 (
- .a(Htmpw6),
- .b(Iixpw6),
- .c(Vhspw6),
- .d(Vmipw6),
- .o(Hhqow6));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*~A)"),
- .INIT(16'h0400))
- _al_u1125 (
- .a(Htmpw6),
- .b(Iixpw6),
- .c(Vhspw6),
- .d(Vmipw6),
- .o(Sjqow6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1126 (
- .a(Hhqow6),
- .b(Sjqow6),
- .c(vis_r10_o[0]),
- .d(vis_r12_o[0]),
- .o(_al_u1126_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1127 (
- .a(Vhspw6),
- .b(Vmipw6),
- .o(_al_u1127_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1128 (
- .a(_al_u1127_o),
- .b(Htmpw6),
- .c(Iixpw6),
- .o(Dfqow6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u1129 (
- .a(Htmpw6),
- .b(Iixpw6),
- .c(Vhspw6),
- .d(Vmipw6),
- .o(Qiqow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u113 (
- .a(_al_u106_o),
- .b(K0xiu6),
- .o(Sg7iu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1130 (
- .a(_al_u1126_o),
- .b(Dfqow6),
- .c(Qiqow6),
- .d(I1lpw6),
- .e(vis_r9_o[0]),
- .o(_al_u1130_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*~A)"),
- .INIT(16'h4000))
- _al_u1131 (
- .a(Htmpw6),
- .b(Iixpw6),
- .c(Vhspw6),
- .d(Vmipw6),
- .o(Ljqow6));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*~A)"),
- .INIT(16'h0100))
- _al_u1132 (
- .a(Htmpw6),
- .b(Iixpw6),
- .c(Vhspw6),
- .d(Vmipw6),
- .o(Gkqow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1133 (
- .a(Ljqow6),
- .b(Gkqow6),
- .c(vis_r11_o[0]),
- .d(vis_r8_o[0]),
- .o(_al_u1133_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u1134 (
- .a(Htmpw6),
- .b(Iixpw6),
- .c(Vhspw6),
- .d(Vmipw6),
- .o(Ahqow6));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1135 (
- .a(N30iu6),
- .b(_al_u1130_o),
- .c(_al_u1133_o),
- .d(Ahqow6),
- .e(vis_r14_o[0]),
- .o(_al_u1135_o));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
- .INIT(16'hcc50))
- _al_u1136 (
- .a(_al_u1135_o),
- .b(T24iu6),
- .c(I8lax6),
- .d(Wvgax6),
- .o(HWDATA[0]));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h3ff5))
- _al_u1137 (
- .a(S2ziu6_lutinv),
- .b(T23ju6_lutinv),
- .c(R3vpw6),
- .d(Vzupw6),
- .o(_al_u1137_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(~D*~C))"),
- .INIT(16'h2220))
- _al_u1138 (
- .a(_al_u1137_o),
- .b(_al_u388_o),
- .c(Vzupw6),
- .d(Xxupw6),
- .o(_al_u1138_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(~C*A))"),
- .INIT(8'h31))
- _al_u1139 (
- .a(_al_u148_o),
- .b(_al_u155_o),
- .c(Frziu6_lutinv),
- .o(Qk9pw6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u114 (
- .a(Sg7iu6),
- .b(Dg2qw6),
- .o(n532));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1140 (
- .a(_al_u1138_o),
- .b(Qk9pw6_lutinv),
- .o(J71iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*~A)"),
- .INIT(16'h0040))
- _al_u1141 (
- .a(_al_u1135_o),
- .b(J71iu6_lutinv),
- .c(I8lax6),
- .d(Wvgax6),
- .o(_al_u1141_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1142 (
- .a(Ljqow6),
- .b(Sjqow6),
- .c(vis_r11_o[8]),
- .d(vis_r10_o[8]),
- .o(_al_u1142_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1143 (
- .a(_al_u1142_o),
- .b(Gkqow6),
- .c(Qiqow6),
- .d(vis_r8_o[8]),
- .e(vis_r9_o[8]),
- .o(_al_u1143_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u1144 (
- .a(_al_u1127_o),
- .b(Htmpw6),
- .c(Iixpw6),
- .d(Jrypw6),
- .o(Yfqow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1145 (
- .a(Yfqow6),
- .b(vis_psp_o[6]),
- .o(_al_u1145_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1146 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[8]),
- .d(vis_r14_o[8]),
- .o(_al_u1146_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u1147 (
- .a(_al_u1127_o),
- .b(Htmpw6),
- .c(Iixpw6),
- .d(Jrypw6),
- .o(Fgqow6));
- AL_MAP_LUT5 #(
- .EQN("(C*~B*A*~(E*D))"),
- .INIT(32'h00202020))
- _al_u1148 (
- .a(_al_u1143_o),
- .b(_al_u1145_o),
- .c(_al_u1146_o),
- .d(Fgqow6),
- .e(vis_msp_o[6]),
- .o(_al_u1148_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1149 (
- .a(_al_u1148_o),
- .b(Lvzhu6),
- .c(Dfqow6),
- .d(N61qw6),
- .o(Cz7ju6));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u115 (
- .a(R9yax6),
- .b(W5ypw6),
- .c(Ztupw6),
- .o(Cznow6));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*A))"),
- .INIT(8'h07))
- _al_u1150 (
- .a(J71iu6_lutinv),
- .b(I8lax6),
- .c(Wvgax6),
- .o(_al_u1150_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(E*D)*~(C*~B))"),
- .INIT(32'hffbababa))
- _al_u1151 (
- .a(_al_u1141_o),
- .b(Cz7ju6),
- .c(_al_u1150_o),
- .d(Pl4iu6),
- .e(Wvgax6),
- .o(HWDATA[8]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1152 (
- .a(Aujpw6),
- .b(R3vpw6),
- .o(D31ju6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1153 (
- .a(Hirpw6),
- .b(Xxupw6),
- .o(_al_u1153_o));
- AL_MAP_LUT5 #(
- .EQN("(~(C*B)*~(E*D*A))"),
- .INIT(32'h153f3f3f))
- _al_u1154 (
- .a(Llaow6_lutinv),
- .b(_al_u705_o),
- .c(_al_u154_o),
- .d(D31ju6),
- .e(_al_u1153_o),
- .o(_al_u1154_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1155 (
- .a(R3vpw6),
- .b(Yvjpw6),
- .o(_al_u1155_o));
- AL_MAP_LUT5 #(
- .EQN("~(~(E*D*C)*~(~B*~A))"),
- .INIT(32'hf1111111))
- _al_u1156 (
- .a(_al_u1135_o),
- .b(_al_u1154_o),
- .c(_al_u158_o),
- .d(_al_u1155_o),
- .e(Iixpw6),
- .o(Oy8iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1157 (
- .a(Gkqow6),
- .b(Qiqow6),
- .c(vis_r8_o[2]),
- .d(vis_r9_o[2]),
- .o(_al_u1157_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1158 (
- .a(_al_u1157_o),
- .b(Ljqow6),
- .c(Sjqow6),
- .d(vis_r10_o[2]),
- .e(vis_r11_o[2]),
- .o(_al_u1158_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1159 (
- .a(_al_u1158_o),
- .b(Fgqow6),
- .c(Yfqow6),
- .d(vis_psp_o[0]),
- .e(vis_msp_o[0]),
- .o(_al_u1159_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u116 (
- .a(Kkyiu6),
- .b(Cznow6),
- .o(n1114));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1160 (
- .a(Dfqow6),
- .b(Ahqow6),
- .c(vis_r14_o[2]),
- .d(Xrxax6),
- .o(_al_u1160_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1161 (
- .a(_al_u1159_o),
- .b(Pxzhu6),
- .c(_al_u1160_o),
- .d(Hhqow6),
- .e(vis_r12_o[2]),
- .o(_al_u1161_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u1162 (
- .a(_al_u1161_o),
- .b(I8lax6),
- .c(Wvgax6),
- .o(_al_u1162_o));
- AL_MAP_LUT3 #(
- .EQN("~(~A*~(C*B))"),
- .INIT(8'hea))
- _al_u1163 (
- .a(_al_u1162_o),
- .b(Ud4iu6),
- .c(Wvgax6),
- .o(HWDATA[2]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1164 (
- .a(Ljqow6),
- .b(Gkqow6),
- .c(vis_r8_o[3]),
- .d(vis_r11_o[3]),
- .o(_al_u1164_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1165 (
- .a(_al_u1164_o),
- .b(Sjqow6),
- .c(Qiqow6),
- .d(vis_r10_o[3]),
- .e(vis_r9_o[3]),
- .o(_al_u1165_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1166 (
- .a(_al_u1165_o),
- .b(Fgqow6),
- .c(Yfqow6),
- .d(vis_psp_o[1]),
- .e(vis_msp_o[1]),
- .o(_al_u1166_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1167 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[3]),
- .d(vis_r14_o[3]),
- .o(J62pw6));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1168 (
- .a(_al_u1166_o),
- .b(Uwzhu6),
- .c(J62pw6),
- .d(Dfqow6),
- .e(T5yax6),
- .o(_al_u1168_o));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
- .INIT(16'hcc50))
- _al_u1169 (
- .a(_al_u1168_o),
- .b(Df4iu6),
- .c(I8lax6),
- .d(Wvgax6),
- .o(HWDATA[3]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u117 (
- .a(Cznow6),
- .b(Vynow6_lutinv),
- .o(C0fiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1170 (
- .a(Ljqow6),
- .b(Qiqow6),
- .c(vis_r9_o[4]),
- .d(vis_r11_o[4]),
- .o(_al_u1170_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1171 (
- .a(_al_u1170_o),
- .b(Yfqow6),
- .c(Gkqow6),
- .d(vis_psp_o[2]),
- .e(vis_r8_o[4]),
- .o(_al_u1171_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1172 (
- .a(_al_u1171_o),
- .b(Fgqow6),
- .c(Sjqow6),
- .d(vis_r10_o[4]),
- .e(vis_msp_o[2]),
- .o(_al_u1172_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1173 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[4]),
- .d(vis_r14_o[4]),
- .o(Wo1pw6));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1174 (
- .a(_al_u1172_o),
- .b(Nwzhu6),
- .c(Wo1pw6),
- .d(Dfqow6),
- .e(Wtxax6),
- .o(_al_u1174_o));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
- .INIT(16'hcc50))
- _al_u1175 (
- .a(_al_u1174_o),
- .b(H34iu6),
- .c(I8lax6),
- .d(Wvgax6),
- .o(HWDATA[4]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1176 (
- .a(Gkqow6),
- .b(Sjqow6),
- .c(vis_r10_o[5]),
- .d(vis_r8_o[5]),
- .o(_al_u1176_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1177 (
- .a(_al_u1176_o),
- .b(Ljqow6),
- .c(Qiqow6),
- .d(vis_r9_o[5]),
- .e(vis_r11_o[5]),
- .o(_al_u1177_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1178 (
- .a(_al_u1177_o),
- .b(Fgqow6),
- .c(Yfqow6),
- .d(vis_psp_o[3]),
- .e(vis_msp_o[3]),
- .o(_al_u1178_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1179 (
- .a(Dfqow6),
- .b(Ahqow6),
- .c(vis_r14_o[5]),
- .d(Qc5bx6),
- .o(_al_u1179_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u118 (
- .a(C0fiu6),
- .b(C1wpw6),
- .o(n1110));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1180 (
- .a(_al_u1178_o),
- .b(Gwzhu6),
- .c(_al_u1179_o),
- .d(Hhqow6),
- .e(vis_r12_o[5]),
- .o(_al_u1180_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u1181 (
- .a(_al_u1180_o),
- .b(I8lax6),
- .c(Wvgax6),
- .o(_al_u1181_o));
- AL_MAP_LUT3 #(
- .EQN("~(~A*~(C*B))"),
- .INIT(8'hea))
- _al_u1182 (
- .a(_al_u1181_o),
- .b(Oh4iu6),
- .c(Wvgax6),
- .o(HWDATA[5]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1183 (
- .a(Ljqow6),
- .b(Gkqow6),
- .c(vis_r8_o[6]),
- .d(vis_r11_o[6]),
- .o(_al_u1183_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1184 (
- .a(_al_u1183_o),
- .b(Yfqow6),
- .c(Qiqow6),
- .d(vis_psp_o[4]),
- .e(vis_r9_o[6]),
- .o(_al_u1184_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1185 (
- .a(_al_u1184_o),
- .b(Fgqow6),
- .c(Sjqow6),
- .d(vis_msp_o[4]),
- .e(vis_r10_o[6]),
- .o(_al_u1185_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1186 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[6]),
- .d(vis_r14_o[6]),
- .o(Wp0pw6));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1187 (
- .a(_al_u1185_o),
- .b(Zvzhu6),
- .c(Wp0pw6),
- .d(Dfqow6),
- .e(Ua9bx6),
- .o(_al_u1187_o));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
- .INIT(16'hcc50))
- _al_u1188 (
- .a(_al_u1187_o),
- .b(Xi4iu6),
- .c(I8lax6),
- .d(Wvgax6),
- .o(HWDATA[6]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1189 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[7]),
- .d(vis_r14_o[7]),
- .o(J80pw6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(B)*~(C)+~(A)*B*~(C)+A*B*~(C)+A*B*C)"),
- .INIT(8'h8e))
- _al_u119 (
- .a(Cjqpw6),
- .b(Ehqpw6),
- .c(T0ipw6),
- .o(Yfxhu6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1190 (
- .a(Svzhu6),
- .b(J80pw6),
- .c(Dfqow6),
- .d(Asupw6),
- .o(_al_u1190_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1191 (
- .a(Ljqow6),
- .b(Qiqow6),
- .c(vis_r11_o[7]),
- .d(vis_r9_o[7]),
- .o(_al_u1191_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1192 (
- .a(Gkqow6),
- .b(Sjqow6),
- .c(vis_r10_o[7]),
- .d(vis_r8_o[7]),
- .o(_al_u1192_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1193 (
- .a(_al_u1191_o),
- .b(_al_u1192_o),
- .c(Yfqow6),
- .d(vis_psp_o[5]),
- .o(_al_u1193_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1194 (
- .a(_al_u1190_o),
- .b(_al_u1193_o),
- .c(Fgqow6),
- .d(vis_msp_o[5]),
- .o(_al_u1194_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u1195 (
- .a(_al_u1194_o),
- .b(I8lax6),
- .c(Wvgax6),
- .o(_al_u1195_o));
- AL_MAP_LUT3 #(
- .EQN("~(~A*~(C*B))"),
- .INIT(8'hea))
- _al_u1196 (
- .a(_al_u1195_o),
- .b(Gk4iu6),
- .c(Wvgax6),
- .o(HWDATA[7]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1197 (
- .a(Ljqow6),
- .b(Gkqow6),
- .c(vis_r8_o[1]),
- .d(vis_r11_o[1]),
- .o(_al_u1197_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1198 (
- .a(_al_u1197_o),
- .b(Sjqow6),
- .c(Qiqow6),
- .d(vis_r9_o[1]),
- .e(vis_r10_o[1]),
- .o(_al_u1198_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1199 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[1]),
- .d(vis_r14_o[1]),
- .o(_al_u1199_o));
- AL_MAP_LUT2 #(
- .EQN("~(B*A)"),
- .INIT(4'h7))
- _al_u12 (
- .a(HREADY),
- .b(P5vpw6),
- .o(n3724));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u120 (
- .a(Ufopw6),
- .b(Vzupw6),
- .o(Vo3ju6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1200 (
- .a(O00iu6),
- .b(_al_u1198_o),
- .c(_al_u1199_o),
- .d(Dfqow6),
- .e(Nu5bx6),
- .o(_al_u1200_o));
- AL_MAP_LUT4 #(
- .EQN("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
- .INIT(16'hcc50))
- _al_u1201 (
- .a(_al_u1200_o),
- .b(O34iu6),
- .c(I8lax6),
- .d(Wvgax6),
- .o(HWDATA[1]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*~A)"),
- .INIT(16'h0040))
- _al_u1202 (
- .a(_al_u1200_o),
- .b(J71iu6_lutinv),
- .c(I8lax6),
- .d(Wvgax6),
- .o(_al_u1202_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1203 (
- .a(Gkqow6),
- .b(Sjqow6),
- .c(vis_r8_o[9]),
- .d(vis_r10_o[9]),
- .o(_al_u1203_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1204 (
- .a(_al_u1203_o),
- .b(Yfqow6),
- .c(Ljqow6),
- .d(vis_r11_o[9]),
- .e(vis_psp_o[7]),
- .o(_al_u1204_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1205 (
- .a(_al_u1204_o),
- .b(Fgqow6),
- .c(Qiqow6),
- .d(vis_r9_o[9]),
- .e(vis_msp_o[7]),
- .o(_al_u1205_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1206 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r14_o[9]),
- .d(vis_r12_o[9]),
- .o(_al_u1206_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1207 (
- .a(_al_u1205_o),
- .b(Evzhu6),
- .c(_al_u1206_o),
- .d(Dfqow6),
- .e(Kn1qw6),
- .o(I28ju6));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(E*D)*~(C*~B))"),
- .INIT(32'hffbababa))
- _al_u1208 (
- .a(_al_u1202_o),
- .b(I28ju6),
- .c(_al_u1150_o),
- .d(Ym4iu6),
- .e(Wvgax6),
- .o(HWDATA[9]));
- AL_MAP_LUT5 #(
- .EQN("~(~(C*B)*~(E*~(D*A)))"),
- .INIT(32'hd5ffc0c0))
- _al_u1209 (
- .a(Di1iu6),
- .b(Fj1iu6),
- .c(Yi1iu6_lutinv),
- .d(Bx2qw6),
- .e(Ry2qw6),
- .o(J3yhu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u121 (
- .a(Hirpw6),
- .b(Yvjpw6),
- .o(_al_u121_o));
- AL_MAP_LUT5 #(
- .EQN("~(~(~C*B)*~(D*~(E*A)))"),
- .INIT(32'h5d0cff0c))
- _al_u1210 (
- .a(Di1iu6),
- .b(_al_u658_o),
- .c(_al_u659_o),
- .d(I0opw6),
- .e(Li7ax6),
- .o(Q3yhu6));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(~D*B*~(~E*~A)))"),
- .INIT(32'h0f030f07))
- _al_u1211 (
- .a(_al_u615_o),
- .b(A1zhu6_lutinv),
- .c(Ahlpw6),
- .d(Pmlpw6),
- .e(Y8lpw6),
- .o(_al_u1211_o));
- AL_MAP_LUT5 #(
- .EQN("~(~(D*~B)*~(C*~(E*A)))"),
- .INIT(32'h7350f3f0))
- _al_u1212 (
- .a(Di1iu6),
- .b(_al_u1211_o),
- .c(A5ipw6),
- .d(Kwlpw6),
- .e(Z73qw6),
- .o(Nrxhu6));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u1213 (
- .a(V8zhu6_lutinv),
- .b(Jflpw6),
- .c(Y8lpw6),
- .o(Vw3iu6));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u1214 (
- .a(_al_u615_o),
- .b(Vw3iu6),
- .c(A1zhu6_lutinv),
- .o(_al_u1214_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*C*A))"),
- .INIT(16'h4ccc))
- _al_u1215 (
- .a(Mmyhu6),
- .b(_al_u1214_o),
- .c(_al_u629_o),
- .d(_al_u630_o),
- .o(_al_u1215_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3a0a3fff))
- _al_u1216 (
- .a(U03iu6),
- .b(SWDO),
- .c(_al_u981_o),
- .d(Krlpw6),
- .e(Rilpw6),
- .o(_al_u1216_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hf63f713f))
- _al_u1217 (
- .a(Bclpw6),
- .b(Jflpw6),
- .c(Kalpw6),
- .d(Sdlpw6),
- .e(Yklpw6),
- .o(_al_u1217_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~((C*~B))*~(D)+~(A)*(C*~B)*~(D)+A*~((C*~B))*D)"),
- .INIT(16'h8a55))
- _al_u1218 (
- .a(_al_u1216_o),
- .b(_al_u1217_o),
- .c(U5yhu6),
- .d(Y8lpw6),
- .o(_al_u1218_o));
- AL_MAP_LUT3 #(
- .EQN("~(~B*~(C*~A))"),
- .INIT(8'hdc))
- _al_u1219 (
- .a(_al_u1215_o),
- .b(_al_u1218_o),
- .c(U5yhu6),
- .o(Rfxhu6));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u122 (
- .a(Vo3ju6_lutinv),
- .b(_al_u121_o),
- .c(Aujpw6),
- .o(Iugiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1220 (
- .a(_al_u1087_o),
- .b(U9ypw6),
- .o(W0piu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1221 (
- .a(_al_u1087_o),
- .b(Hgrpw6),
- .o(_al_u1221_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~(~D*B)*~(~E*A)))"),
- .INIT(32'h00c0a0e0))
- _al_u1222 (
- .a(W0piu6_lutinv),
- .b(_al_u1221_o),
- .c(Nbkiu6_lutinv),
- .d(Dxvpw6),
- .e(Skjax6),
- .o(_al_u1222_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1223 (
- .a(Dxvpw6),
- .b(Ydopw6),
- .o(_al_u1223_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1224 (
- .a(W0piu6_lutinv),
- .b(_al_u1223_o),
- .o(Hviiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1225 (
- .a(Rwjax6),
- .b(Ssjax6),
- .o(_al_u1225_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1226 (
- .a(N4kax6),
- .b(W4jax6),
- .o(_al_u1226_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u1227 (
- .a(_al_u1225_o),
- .b(_al_u1226_o),
- .c(P0kax6),
- .o(_al_u1227_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1228 (
- .a(Rwjax6),
- .b(Ssjax6),
- .o(_al_u1228_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~A*~(C*~(~E*~D)))"),
- .INIT(32'h01010111))
- _al_u1229 (
- .a(_al_u1083_o),
- .b(_al_u1222_o),
- .c(Hviiu6),
- .d(_al_u1227_o),
- .e(_al_u1228_o),
- .o(M1jiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u123 (
- .a(R3vpw6),
- .b(Xxupw6),
- .o(Pt2ju6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u1230 (
- .a(Irmpw6),
- .b(N4kax6),
- .c(S7mpw6),
- .d(Wfspw6),
- .o(_al_u1230_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1231 (
- .a(_al_u1230_o),
- .b(Wkipw6),
- .o(_al_u1231_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~(~C*~B)))"),
- .INIT(16'h02aa))
- _al_u1232 (
- .a(_al_u1089_o),
- .b(_al_u1231_o),
- .c(_al_u704_o),
- .d(U9ypw6),
- .o(_al_u1232_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1233 (
- .a(U9ypw6),
- .b(Ydopw6),
- .o(J9kiu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u1234 (
- .a(_al_u1087_o),
- .b(J9kiu6_lutinv),
- .c(Sojax6),
- .o(Vviiu6));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u1235 (
- .a(Vviiu6),
- .b(P0kax6),
- .c(Ssjax6),
- .o(_al_u1235_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~B*~(~C*A)))"),
- .INIT(16'h00ce))
- _al_u1236 (
- .a(_al_u690_o),
- .b(Pu1ju6_lutinv),
- .c(R3vpw6),
- .d(Yvjpw6),
- .o(_al_u1236_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1237 (
- .a(_al_u400_o),
- .b(Sojax6),
- .o(_al_u1237_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~A*~(D*B))"),
- .INIT(16'h0105))
- _al_u1238 (
- .a(_al_u1235_o),
- .b(W0piu6_lutinv),
- .c(_al_u1236_o),
- .d(_al_u1237_o),
- .o(_al_u1238_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*B)*~(~C*A))"),
- .INIT(16'hf531))
- _al_u1239 (
- .a(Nbkiu6_lutinv),
- .b(_al_u400_o),
- .c(Qxoiu6),
- .d(Dxvpw6),
- .o(_al_u1239_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u124 (
- .a(Iugiu6),
- .b(Pt2ju6),
- .c(T1vpw6),
- .o(TXEV));
- AL_MAP_LUT5 #(
- .EQN("(E*~D*~(~C*~B*~A))"),
- .INIT(32'h00fe0000))
- _al_u1240 (
- .a(N4kax6),
- .b(P14qw6),
- .c(Sojax6),
- .d(U9ypw6),
- .e(Ydopw6),
- .o(_al_u1240_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~D*(~B*~(A)*~(C)+~B*A*~(C)+~(~B)*A*C+~B*A*C))"),
- .INIT(32'h00a30000))
- _al_u1241 (
- .a(Dxvpw6),
- .b(P0kax6),
- .c(Skjax6),
- .d(Sojax6),
- .e(Ydopw6),
- .o(_al_u1241_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~D*~C*A))"),
- .INIT(16'hccc4))
- _al_u1242 (
- .a(_al_u1239_o),
- .b(_al_u1221_o),
- .c(_al_u1240_o),
- .d(_al_u1241_o),
- .o(_al_u1242_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~D*C*~B*A))"),
- .INIT(32'hffdf0000))
- _al_u1243 (
- .a(M1jiu6),
- .b(_al_u1232_o),
- .c(_al_u1238_o),
- .d(_al_u1242_o),
- .e(HREADY),
- .o(n3767));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1244 (
- .a(_al_u1162_o),
- .b(J71iu6_lutinv),
- .o(_al_u1244_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1245 (
- .a(Ljqow6),
- .b(Qiqow6),
- .c(vis_r9_o[10]),
- .d(vis_r11_o[10]),
- .o(_al_u1245_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1246 (
- .a(Gkqow6),
- .b(Sjqow6),
- .c(vis_r8_o[10]),
- .d(vis_r10_o[10]),
- .o(_al_u1246_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1247 (
- .a(_al_u1245_o),
- .b(_al_u1246_o),
- .c(Yfqow6),
- .d(vis_psp_o[8]),
- .o(_al_u1247_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1248 (
- .a(Dfqow6),
- .b(Ahqow6),
- .c(Gwxpw6),
- .d(vis_r14_o[10]),
- .o(_al_u1248_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1249 (
- .a(_al_u1247_o),
- .b(_al_u1248_o),
- .c(Fgqow6),
- .d(vis_msp_o[8]),
- .o(_al_u1249_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*~(~D*C*A))"),
- .INIT(16'hccec))
- _al_u125 (
- .a(HRDATA[30]),
- .b(Pexpw6),
- .c(vis_tbit_o),
- .d(Sz3qw6),
- .o(Rw8iu6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1250 (
- .a(_al_u1249_o),
- .b(G30iu6),
- .c(Hhqow6),
- .d(vis_r12_o[10]),
- .o(Ka8ju6));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(E*D)*~(C*~B))"),
- .INIT(32'hffbababa))
- _al_u1251 (
- .a(_al_u1244_o),
- .b(Ka8ju6),
- .c(_al_u1150_o),
- .d(J44iu6),
- .e(Wvgax6),
- .o(HWDATA[10]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1252 (
- .a(HWDATA[2]),
- .b(C1wpw6),
- .o(G3eiu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*~A)"),
- .INIT(16'h0040))
- _al_u1253 (
- .a(_al_u1168_o),
- .b(J71iu6_lutinv),
- .c(I8lax6),
- .d(Wvgax6),
- .o(_al_u1253_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1254 (
- .a(Gkqow6),
- .b(Sjqow6),
- .c(vis_r8_o[11]),
- .d(vis_r10_o[11]),
- .o(_al_u1254_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1255 (
- .a(_al_u1254_o),
- .b(Yfqow6),
- .c(Ljqow6),
- .d(vis_r11_o[11]),
- .e(vis_psp_o[9]),
- .o(_al_u1255_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1256 (
- .a(_al_u1255_o),
- .b(Fgqow6),
- .c(Qiqow6),
- .d(vis_r9_o[11]),
- .e(vis_msp_o[9]),
- .o(_al_u1256_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1257 (
- .a(Dfqow6),
- .b(Hhqow6),
- .c(C07bx6),
- .d(vis_r12_o[11]),
- .o(_al_u1257_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1258 (
- .a(_al_u1256_o),
- .b(Z20iu6),
- .c(_al_u1257_o),
- .d(Ahqow6),
- .e(vis_r14_o[11]),
- .o(_al_u1258_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(E*D)*~(C*~B))"),
- .INIT(32'hffbababa))
- _al_u1259 (
- .a(_al_u1253_o),
- .b(_al_u1258_o),
- .c(_al_u1150_o),
- .d(Q44iu6),
- .e(Wvgax6),
- .o(HWDATA[11]));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*~B))"),
- .INIT(8'ha8))
- _al_u126 (
- .a(Iqzhu6_lutinv),
- .b(Nmfax6),
- .c(Nrqpw6),
- .o(_al_u126_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*~A)"),
- .INIT(16'h0040))
- _al_u1260 (
- .a(_al_u1174_o),
- .b(J71iu6_lutinv),
- .c(I8lax6),
- .d(Wvgax6),
- .o(_al_u1260_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1261 (
- .a(Gkqow6),
- .b(Sjqow6),
- .c(vis_r8_o[12]),
- .d(vis_r10_o[12]),
- .o(_al_u1261_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1262 (
- .a(_al_u1261_o),
- .b(Ljqow6),
- .c(Qiqow6),
- .d(vis_r11_o[12]),
- .e(vis_r9_o[12]),
- .o(_al_u1262_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1263 (
- .a(Yfqow6),
- .b(vis_psp_o[10]),
- .o(_al_u1263_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1264 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[12]),
- .d(vis_r14_o[12]),
- .o(_al_u1264_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~B*A*~(E*D))"),
- .INIT(32'h00202020))
- _al_u1265 (
- .a(_al_u1262_o),
- .b(_al_u1263_o),
- .c(_al_u1264_o),
- .d(Fgqow6),
- .e(vis_msp_o[10]),
- .o(_al_u1265_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1266 (
- .a(_al_u1265_o),
- .b(S20iu6),
- .c(Dfqow6),
- .d(Dm6bx6),
- .o(_al_u1266_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(E*D)*~(C*~B))"),
- .INIT(32'hffbababa))
- _al_u1267 (
- .a(_al_u1260_o),
- .b(_al_u1266_o),
- .c(_al_u1150_o),
- .d(X44iu6),
- .e(Wvgax6),
- .o(HWDATA[12]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1268 (
- .a(_al_u1181_o),
- .b(J71iu6_lutinv),
- .o(_al_u1268_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1269 (
- .a(Ljqow6),
- .b(Qiqow6),
- .c(vis_r9_o[13]),
- .d(vis_r11_o[13]),
- .o(_al_u1269_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~A*~(C*~(~E*~D)))"),
- .INIT(32'h01010111))
- _al_u127 (
- .a(_al_u126_o),
- .b(n274),
- .c(Iqzhu6_lutinv),
- .d(Gnqpw6),
- .e(J0gax6),
- .o(V34iu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1270 (
- .a(_al_u1269_o),
- .b(Gkqow6),
- .c(Sjqow6),
- .d(vis_r8_o[13]),
- .e(vis_r10_o[13]),
- .o(_al_u1270_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1271 (
- .a(Fgqow6),
- .b(vis_msp_o[11]),
- .o(_al_u1271_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1272 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[13]),
- .d(vis_r14_o[13]),
- .o(Ty0pw6));
- AL_MAP_LUT5 #(
- .EQN("(C*~B*A*~(E*D))"),
- .INIT(32'h00202020))
- _al_u1273 (
- .a(_al_u1270_o),
- .b(_al_u1271_o),
- .c(Ty0pw6),
- .d(Yfqow6),
- .e(vis_psp_o[11]),
- .o(_al_u1273_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1274 (
- .a(_al_u1273_o),
- .b(L20iu6),
- .c(Dfqow6),
- .d(Xpxax6),
- .o(_al_u1274_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(E*D)*~(C*~B))"),
- .INIT(32'hffbababa))
- _al_u1275 (
- .a(_al_u1268_o),
- .b(_al_u1274_o),
- .c(_al_u1150_o),
- .d(E54iu6),
- .e(Wvgax6),
- .o(HWDATA[13]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*~A)"),
- .INIT(16'h0040))
- _al_u1276 (
- .a(_al_u1187_o),
- .b(J71iu6_lutinv),
- .c(I8lax6),
- .d(Wvgax6),
- .o(_al_u1276_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1277 (
- .a(Ljqow6),
- .b(Sjqow6),
- .c(vis_r11_o[14]),
- .d(vis_r10_o[14]),
- .o(_al_u1277_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1278 (
- .a(_al_u1277_o),
- .b(Gkqow6),
- .c(Qiqow6),
- .d(vis_r8_o[14]),
- .e(vis_r9_o[14]),
- .o(_al_u1278_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1279 (
- .a(_al_u1278_o),
- .b(Fgqow6),
- .c(Yfqow6),
- .d(vis_msp_o[12]),
- .e(vis_psp_o[12]),
- .o(_al_u1279_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u128 (
- .a(Iqzhu6_lutinv),
- .b(Gpqpw6),
- .o(_al_u128_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1280 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[14]),
- .d(vis_r14_o[14]),
- .o(_al_u1280_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1281 (
- .a(_al_u1279_o),
- .b(E20iu6),
- .c(_al_u1280_o),
- .d(Dfqow6),
- .e(Sb8ax6),
- .o(_al_u1281_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(E*D)*~(C*~B))"),
- .INIT(32'hffbababa))
- _al_u1282 (
- .a(_al_u1276_o),
- .b(_al_u1281_o),
- .c(_al_u1150_o),
- .d(L54iu6),
- .e(Wvgax6),
- .o(HWDATA[14]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1283 (
- .a(_al_u1195_o),
- .b(J71iu6_lutinv),
- .o(_al_u1283_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1284 (
- .a(Gkqow6),
- .b(Qiqow6),
- .c(vis_r9_o[15]),
- .d(vis_r8_o[15]),
- .o(_al_u1284_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1285 (
- .a(Ljqow6),
- .b(Sjqow6),
- .c(vis_r10_o[15]),
- .d(vis_r11_o[15]),
- .o(L20pw6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1286 (
- .a(_al_u1284_o),
- .b(L20pw6),
- .c(Fgqow6),
- .d(vis_msp_o[13]),
- .o(_al_u1286_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1287 (
- .a(Dfqow6),
- .b(Ahqow6),
- .c(Z47ax6),
- .d(vis_r14_o[15]),
- .o(_al_u1287_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1288 (
- .a(_al_u1286_o),
- .b(_al_u1287_o),
- .c(Yfqow6),
- .d(vis_psp_o[13]),
- .o(_al_u1288_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1289 (
- .a(_al_u1288_o),
- .b(X10iu6),
- .c(Hhqow6),
- .d(vis_r12_o[15]),
- .o(_al_u1289_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u129 (
- .a(V34iu6),
- .b(_al_u128_o),
- .o(M24iu6));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(E*D)*~(C*~B))"),
- .INIT(32'hffbababa))
- _al_u1290 (
- .a(_al_u1283_o),
- .b(_al_u1289_o),
- .c(_al_u1150_o),
- .d(S54iu6),
- .e(Wvgax6),
- .o(HWDATA[15]));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*~A))"),
- .INIT(8'h0b))
- _al_u1291 (
- .a(_al_u1138_o),
- .b(I8lax6),
- .c(Wvgax6),
- .o(Lcqow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1292 (
- .a(_al_u1200_o),
- .b(Lcqow6),
- .c(G64iu6),
- .d(Wvgax6),
- .o(_al_u1292_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1293 (
- .a(Gkqow6),
- .b(Qiqow6),
- .c(vis_r8_o[17]),
- .d(vis_r9_o[17]),
- .o(_al_u1293_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1294 (
- .a(_al_u1293_o),
- .b(Ljqow6),
- .c(Sjqow6),
- .d(vis_r10_o[17]),
- .e(vis_r11_o[17]),
- .o(_al_u1294_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1295 (
- .a(_al_u1294_o),
- .b(Fgqow6),
- .c(Yfqow6),
- .d(vis_msp_o[15]),
- .e(vis_psp_o[15]),
- .o(_al_u1295_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1296 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[17]),
- .d(vis_r14_o[17]),
- .o(Pqzow6));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1297 (
- .a(_al_u1295_o),
- .b(J10iu6),
- .c(Pqzow6),
- .d(Dfqow6),
- .e(Pbbbx6),
- .o(_al_u1297_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u1298 (
- .a(_al_u1138_o),
- .b(I8lax6),
- .c(Wvgax6),
- .o(Gdqow6));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*~B))"),
- .INIT(8'h75))
- _al_u1299 (
- .a(_al_u1292_o),
- .b(_al_u1297_o),
- .c(Gdqow6),
- .o(HWDATA[17]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B)"),
- .INIT(8'hb8))
- _al_u13 (
- .a(L5lpw6),
- .b(Pmlpw6),
- .c(Y8lpw6),
- .o(SWDO));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u130 (
- .a(Bclpw6),
- .b(Sdlpw6),
- .o(_al_u130_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1300 (
- .a(HWDATA[1]),
- .b(C1wpw6),
- .o(I4eiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1301 (
- .a(Fgqow6),
- .b(Gkqow6),
- .c(vis_r8_o[18]),
- .d(vis_msp_o[16]),
- .o(_al_u1301_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1302 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[18]),
- .d(vis_r14_o[18]),
- .o(Q9zow6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1303 (
- .a(_al_u1301_o),
- .b(Q9zow6),
- .c(Dfqow6),
- .d(Syjbx6),
- .o(_al_u1303_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1304 (
- .a(Ljqow6),
- .b(Sjqow6),
- .c(vis_r11_o[18]),
- .d(vis_r10_o[18]),
- .o(Iczow6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1305 (
- .a(Iczow6),
- .b(Yfqow6),
- .c(Qiqow6),
- .d(vis_r9_o[18]),
- .e(vis_psp_o[16]),
- .o(_al_u1305_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1306 (
- .a(C10iu6),
- .b(_al_u1303_o),
- .c(_al_u1305_o),
- .o(_al_u1306_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1307 (
- .a(_al_u1306_o),
- .b(Gdqow6),
- .c(N64iu6),
- .d(Wvgax6),
- .o(A8zow6));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*~B))"),
- .INIT(8'h75))
- _al_u1308 (
- .a(A8zow6),
- .b(_al_u1161_o),
- .c(Lcqow6),
- .o(HWDATA[18]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1309 (
- .a(Ljqow6),
- .b(Qiqow6),
- .c(vis_r9_o[19]),
- .d(vis_r11_o[19]),
- .o(_al_u1309_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u131 (
- .a(Ahlpw6),
- .b(Pmlpw6),
- .o(U5yhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1310 (
- .a(Gkqow6),
- .b(Sjqow6),
- .c(vis_r8_o[19]),
- .d(vis_r10_o[19]),
- .o(_al_u1310_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1311 (
- .a(_al_u1309_o),
- .b(_al_u1310_o),
- .c(Yfqow6),
- .d(vis_psp_o[17]),
- .o(_al_u1311_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1312 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[19]),
- .d(vis_r14_o[19]),
- .o(F0zow6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1313 (
- .a(_al_u1311_o),
- .b(F0zow6),
- .c(Fgqow6),
- .d(vis_msp_o[17]),
- .o(_al_u1313_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1314 (
- .a(_al_u1313_o),
- .b(V00iu6),
- .c(Dfqow6),
- .d(T6kbx6),
- .o(_al_u1314_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*~A))"),
- .INIT(16'h8caf))
- _al_u1315 (
- .a(_al_u1314_o),
- .b(_al_u1168_o),
- .c(Gdqow6),
- .d(Lcqow6),
- .o(_al_u1315_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1316 (
- .a(_al_u1315_o),
- .b(U64iu6),
- .c(Wvgax6),
- .o(HWDATA[19]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1317 (
- .a(Ljqow6),
- .b(Sjqow6),
- .c(vis_r10_o[20]),
- .d(vis_r11_o[20]),
- .o(Ysyow6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1318 (
- .a(Ysyow6),
- .b(Gkqow6),
- .c(Qiqow6),
- .d(vis_r8_o[20]),
- .e(vis_r9_o[20]),
- .o(_al_u1318_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1319 (
- .a(_al_u1318_o),
- .b(Fgqow6),
- .c(Yfqow6),
- .d(vis_msp_o[18]),
- .e(vis_psp_o[18]),
- .o(_al_u1319_o));
- AL_MAP_LUT5 #(
- .EQN("~(~C*B*(D@(E*A)))"),
- .INIT(32'hfbf7f3ff))
- _al_u132 (
- .a(_al_u130_o),
- .b(U5yhu6),
- .c(Jflpw6),
- .d(Kalpw6),
- .e(Yklpw6),
- .o(U03iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1320 (
- .a(Dfqow6),
- .b(Ahqow6),
- .c(Fjdbx6),
- .d(vis_r14_o[20]),
- .o(_al_u1320_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1321 (
- .a(_al_u1319_o),
- .b(H00iu6),
- .c(_al_u1320_o),
- .d(Hhqow6),
- .e(vis_r12_o[20]),
- .o(_al_u1321_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1322 (
- .a(_al_u1321_o),
- .b(Gdqow6),
- .c(B74iu6),
- .d(Wvgax6),
- .o(Qoyow6));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*~B))"),
- .INIT(8'h75))
- _al_u1323 (
- .a(Qoyow6),
- .b(_al_u1174_o),
- .c(Lcqow6),
- .o(HWDATA[20]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1324 (
- .a(Gkqow6),
- .b(Qiqow6),
- .c(vis_r8_o[21]),
- .d(vis_r9_o[21]),
- .o(_al_u1324_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1325 (
- .a(_al_u1324_o),
- .b(Yfqow6),
- .c(Sjqow6),
- .d(vis_psp_o[19]),
- .e(vis_r10_o[21]),
- .o(_al_u1325_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1326 (
- .a(_al_u1325_o),
- .b(Fgqow6),
- .c(Ljqow6),
- .d(vis_r11_o[21]),
- .e(vis_msp_o[19]),
- .o(_al_u1326_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1327 (
- .a(Dfqow6),
- .b(Ahqow6),
- .c(M2ebx6),
- .d(vis_r14_o[21]),
- .o(_al_u1327_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1328 (
- .a(_al_u1326_o),
- .b(A00iu6),
- .c(_al_u1327_o),
- .d(Hhqow6),
- .e(vis_r12_o[21]),
- .o(_al_u1328_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1329 (
- .a(_al_u1328_o),
- .b(Gdqow6),
- .c(I74iu6),
- .d(Wvgax6),
- .o(Ffyow6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u133 (
- .a(Wyiax6),
- .b(Xuiax6),
- .c(Ysiax6),
- .d(Zqiax6),
- .o(_al_u133_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*~B))"),
- .INIT(8'h75))
- _al_u1330 (
- .a(Ffyow6),
- .b(_al_u1180_o),
- .c(Lcqow6),
- .o(HWDATA[21]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1331 (
- .a(_al_u1187_o),
- .b(Lcqow6),
- .c(P74iu6),
- .d(Wvgax6),
- .o(_al_u1331_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1332 (
- .a(Ljqow6),
- .b(Qiqow6),
- .c(vis_r11_o[22]),
- .d(vis_r9_o[22]),
- .o(_al_u1332_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1333 (
- .a(_al_u1332_o),
- .b(Gkqow6),
- .c(Sjqow6),
- .d(vis_r8_o[22]),
- .e(vis_r10_o[22]),
- .o(_al_u1333_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1334 (
- .a(Fgqow6),
- .b(vis_msp_o[20]),
- .o(_al_u1334_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1335 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[22]),
- .d(vis_r14_o[22]),
- .o(K7yow6));
- AL_MAP_LUT5 #(
- .EQN("(C*~B*A*~(E*D))"),
- .INIT(32'h00202020))
- _al_u1336 (
- .a(_al_u1333_o),
- .b(_al_u1334_o),
- .c(K7yow6),
- .d(Yfqow6),
- .e(vis_psp_o[20]),
- .o(_al_u1336_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1337 (
- .a(_al_u1336_o),
- .b(Tzzhu6),
- .c(Dfqow6),
- .d(Tlebx6),
- .o(_al_u1337_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*~B))"),
- .INIT(8'h75))
- _al_u1338 (
- .a(_al_u1331_o),
- .b(_al_u1337_o),
- .c(Gdqow6),
- .o(HWDATA[22]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1339 (
- .a(Ljqow6),
- .b(Qiqow6),
- .c(vis_r9_o[23]),
- .d(vis_r11_o[23]),
- .o(_al_u1339_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u134 (
- .a(E8iax6),
- .b(W0jax6),
- .c(W2jax6),
- .d(Wwiax6),
- .o(_al_u134_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1340 (
- .a(_al_u1339_o),
- .b(Gkqow6),
- .c(Sjqow6),
- .d(vis_r10_o[23]),
- .e(vis_r8_o[23]),
- .o(_al_u1340_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1341 (
- .a(_al_u1340_o),
- .b(Fgqow6),
- .c(Yfqow6),
- .d(vis_msp_o[21]),
- .e(vis_psp_o[21]),
- .o(_al_u1341_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1342 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[23]),
- .d(vis_r14_o[23]),
- .o(Zxxow6));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1343 (
- .a(_al_u1341_o),
- .b(Mzzhu6),
- .c(Zxxow6),
- .d(Dfqow6),
- .e(Ztgbx6),
- .o(_al_u1343_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1344 (
- .a(_al_u1343_o),
- .b(Gdqow6),
- .c(W74iu6),
- .d(Wvgax6),
- .o(Jwxow6));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*~B))"),
- .INIT(8'h75))
- _al_u1345 (
- .a(Jwxow6),
- .b(_al_u1194_o),
- .c(Lcqow6),
- .o(HWDATA[23]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1346 (
- .a(Sjqow6),
- .b(Qiqow6),
- .c(vis_r10_o[24]),
- .d(vis_r9_o[24]),
- .o(_al_u1346_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1347 (
- .a(_al_u1346_o),
- .b(Ljqow6),
- .c(Gkqow6),
- .d(vis_r11_o[24]),
- .e(vis_r8_o[24]),
- .o(_al_u1347_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1348 (
- .a(Fgqow6),
- .b(vis_msp_o[22]),
- .o(_al_u1348_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1349 (
- .a(Dfqow6),
- .b(Ahqow6),
- .c(vis_r14_o[24]),
- .d(Tgkbx6),
- .o(_al_u1349_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B*A))"),
- .INIT(8'h8f))
- _al_u135 (
- .a(_al_u133_o),
- .b(_al_u134_o),
- .c(Vzjpw6),
- .o(X5phu6));
- AL_MAP_LUT5 #(
- .EQN("(C*~B*A*~(E*D))"),
- .INIT(32'h00202020))
- _al_u1350 (
- .a(_al_u1347_o),
- .b(_al_u1348_o),
- .c(_al_u1349_o),
- .d(Yfqow6),
- .e(vis_psp_o[22]),
- .o(_al_u1350_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1351 (
- .a(_al_u1350_o),
- .b(Fzzhu6),
- .c(Hhqow6),
- .d(vis_r12_o[24]),
- .o(_al_u1351_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1352 (
- .a(_al_u1351_o),
- .b(Gdqow6),
- .c(D84iu6),
- .d(Wvgax6),
- .o(_al_u1352_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u1353 (
- .a(_al_u1138_o),
- .b(Qk9pw6_lutinv),
- .c(I8lax6),
- .d(Wvgax6),
- .o(_al_u1353_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*A*~(D*~C))"),
- .INIT(16'hdfdd))
- _al_u1354 (
- .a(_al_u1352_o),
- .b(_al_u1141_o),
- .c(Cz7ju6),
- .d(_al_u1353_o),
- .o(HWDATA[24]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1355 (
- .a(Ljqow6),
- .b(Qiqow6),
- .c(vis_r9_o[25]),
- .d(vis_r11_o[25]),
- .o(_al_u1355_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1356 (
- .a(_al_u1355_o),
- .b(Fgqow6),
- .c(Gkqow6),
- .d(vis_r8_o[25]),
- .e(vis_msp_o[23]),
- .o(_al_u1356_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1357 (
- .a(_al_u1356_o),
- .b(Yfqow6),
- .c(Sjqow6),
- .d(vis_r10_o[25]),
- .e(vis_psp_o[23]),
- .o(_al_u1357_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1358 (
- .a(Dfqow6),
- .b(Ahqow6),
- .c(vis_r14_o[25]),
- .d(Nwbbx6),
- .o(_al_u1358_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1359 (
- .a(_al_u1357_o),
- .b(Yyzhu6),
- .c(_al_u1358_o),
- .d(Hhqow6),
- .e(vis_r12_o[25]),
- .o(_al_u1359_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*A)"),
- .INIT(8'h7f))
- _al_u136 (
- .a(Cznow6),
- .b(Rzciu6_lutinv),
- .c(C1wpw6),
- .o(Mpgiu6));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
- .INIT(16'h0503))
- _al_u1360 (
- .a(_al_u1359_o),
- .b(_al_u1200_o),
- .c(_al_u1154_o),
- .d(Wofiu6_lutinv),
- .o(_al_u1360_o));
- AL_MAP_LUT3 #(
- .EQN("~(~A*~(C*B))"),
- .INIT(8'hea))
- _al_u1361 (
- .a(_al_u1360_o),
- .b(vis_pc_o[1]),
- .c(Zdiax6),
- .o(Yjliu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1362 (
- .a(_al_u1359_o),
- .b(Gdqow6),
- .c(K84iu6),
- .d(Wvgax6),
- .o(_al_u1362_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*A*~(D*~C))"),
- .INIT(16'hdfdd))
- _al_u1363 (
- .a(_al_u1362_o),
- .b(_al_u1202_o),
- .c(I28ju6),
- .d(_al_u1353_o),
- .o(HWDATA[25]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1364 (
- .a(Ka8ju6),
- .b(_al_u1353_o),
- .c(R84iu6),
- .d(Wvgax6),
- .o(_al_u1364_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1365 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[26]),
- .d(vis_r14_o[26]),
- .o(Knwow6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1366 (
- .a(Ryzhu6),
- .b(Knwow6),
- .c(Dfqow6),
- .d(F8cbx6),
- .o(_al_u1366_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1367 (
- .a(Ljqow6),
- .b(Qiqow6),
- .c(vis_r9_o[26]),
- .d(vis_r11_o[26]),
- .o(_al_u1367_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1368 (
- .a(Gkqow6),
- .b(Sjqow6),
- .c(vis_r8_o[26]),
- .d(vis_r10_o[26]),
- .o(_al_u1368_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1369 (
- .a(_al_u1367_o),
- .b(_al_u1368_o),
- .c(Fgqow6),
- .d(vis_msp_o[24]),
- .o(_al_u1369_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u137 (
- .a(Vowiu6),
- .b(Nkwiu6),
- .o(Ws4iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1370 (
- .a(_al_u1366_o),
- .b(_al_u1369_o),
- .c(Yfqow6),
- .d(vis_psp_o[24]),
- .o(_al_u1370_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*~C))"),
- .INIT(16'hbfbb))
- _al_u1371 (
- .a(_al_u1244_o),
- .b(_al_u1364_o),
- .c(_al_u1370_o),
- .d(Gdqow6),
- .o(HWDATA[26]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1372 (
- .a(Ljqow6),
- .b(Sjqow6),
- .c(vis_r11_o[27]),
- .d(vis_r10_o[27]),
- .o(Wfwow6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1373 (
- .a(Wfwow6),
- .b(Gkqow6),
- .c(Qiqow6),
- .d(vis_r8_o[27]),
- .e(vis_r9_o[27]),
- .o(_al_u1373_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1374 (
- .a(_al_u1373_o),
- .b(Fgqow6),
- .c(Yfqow6),
- .d(vis_msp_o[25]),
- .e(vis_psp_o[25]),
- .o(_al_u1374_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1375 (
- .a(Dfqow6),
- .b(Hhqow6),
- .c(vis_r12_o[27]),
- .d(Nybbx6),
- .o(_al_u1375_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1376 (
- .a(_al_u1374_o),
- .b(Kyzhu6),
- .c(_al_u1375_o),
- .d(Ahqow6),
- .e(vis_r14_o[27]),
- .o(_al_u1376_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1377 (
- .a(_al_u1376_o),
- .b(Gdqow6),
- .c(Y84iu6),
- .d(Wvgax6),
- .o(Vbwow6));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*~C))"),
- .INIT(16'hbfbb))
- _al_u1378 (
- .a(_al_u1253_o),
- .b(Vbwow6),
- .c(_al_u1258_o),
- .d(_al_u1353_o),
- .o(HWDATA[27]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1379 (
- .a(_al_u1266_o),
- .b(_al_u1353_o),
- .c(F94iu6),
- .d(Wvgax6),
- .o(_al_u1379_o));
- AL_MAP_LUT2 #(
- .EQN("~(B*A)"),
- .INIT(4'h7))
- _al_u138 (
- .a(Ws4iu6_lutinv),
- .b(Dg2qw6),
- .o(Bs4iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1380 (
- .a(Ljqow6),
- .b(Qiqow6),
- .c(vis_r9_o[28]),
- .d(vis_r11_o[28]),
- .o(_al_u1380_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1381 (
- .a(_al_u1380_o),
- .b(Fgqow6),
- .c(Sjqow6),
- .d(vis_msp_o[26]),
- .e(vis_r10_o[28]),
- .o(_al_u1381_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1382 (
- .a(_al_u1381_o),
- .b(Yfqow6),
- .c(Gkqow6),
- .d(vis_r8_o[28]),
- .e(vis_psp_o[26]),
- .o(_al_u1382_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1383 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[28]),
- .d(vis_r14_o[28]),
- .o(_al_u1383_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1384 (
- .a(_al_u1382_o),
- .b(Dyzhu6),
- .c(_al_u1383_o),
- .d(Dfqow6),
- .e(Ibqpw6),
- .o(_al_u1384_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*~C))"),
- .INIT(16'hbfbb))
- _al_u1385 (
- .a(_al_u1260_o),
- .b(_al_u1379_o),
- .c(_al_u1384_o),
- .d(Gdqow6),
- .o(HWDATA[28]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1386 (
- .a(_al_u1281_o),
- .b(_al_u1353_o),
- .c(T94iu6),
- .d(Wvgax6),
- .o(_al_u1386_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1387 (
- .a(Ljqow6),
- .b(Gkqow6),
- .c(vis_r8_o[30]),
- .d(vis_r11_o[30]),
- .o(_al_u1387_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1388 (
- .a(_al_u1387_o),
- .b(Yfqow6),
- .c(Sjqow6),
- .d(vis_r10_o[30]),
- .e(vis_psp_o[28]),
- .o(_al_u1388_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1389 (
- .a(Dfqow6),
- .b(Ahqow6),
- .c(F6dbx6),
- .d(vis_r14_o[30]),
- .o(_al_u1389_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u139 (
- .a(_al_u106_o),
- .b(Nkwiu6),
- .o(Kw1iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1390 (
- .a(Fgqow6),
- .b(Qiqow6),
- .c(vis_r9_o[30]),
- .d(vis_msp_o[28]),
- .o(_al_u1390_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1391 (
- .a(_al_u1388_o),
- .b(_al_u1389_o),
- .c(_al_u1390_o),
- .o(_al_u1391_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1392 (
- .a(_al_u1391_o),
- .b(Ixzhu6),
- .c(Hhqow6),
- .d(vis_r12_o[30]),
- .o(_al_u1392_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*~C))"),
- .INIT(16'hbfbb))
- _al_u1393 (
- .a(_al_u1276_o),
- .b(_al_u1386_o),
- .c(_al_u1392_o),
- .d(Gdqow6),
- .o(HWDATA[30]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1394 (
- .a(Ljqow6),
- .b(Gkqow6),
- .c(vis_r11_o[31]),
- .d(vis_r8_o[31]),
- .o(_al_u1394_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1395 (
- .a(_al_u1394_o),
- .b(Yfqow6),
- .c(Qiqow6),
- .d(vis_psp_o[29]),
- .e(vis_r9_o[31]),
- .o(_al_u1395_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u1396 (
- .a(_al_u1395_o),
- .b(Fgqow6),
- .c(Sjqow6),
- .d(vis_r10_o[31]),
- .e(vis_msp_o[29]),
- .o(_al_u1396_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1397 (
- .a(Dfqow6),
- .b(Ahqow6),
- .c(vis_r14_o[31]),
- .d(Usnpw6),
- .o(_al_u1397_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1398 (
- .a(_al_u1396_o),
- .b(Bxzhu6),
- .c(_al_u1397_o),
- .d(Hhqow6),
- .e(vis_r12_o[31]),
- .o(_al_u1398_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1399 (
- .a(_al_u1398_o),
- .b(Gdqow6),
- .c(Lm1iu6),
- .d(Wvgax6),
- .o(Khvow6));
- AL_MAP_LUT2 #(
- .EQN("~(B*A)"),
- .INIT(4'h7))
- _al_u14 (
- .a(Sqfax6),
- .b(Uofax6),
- .o(n274));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u140 (
- .a(Kw1iu6_lutinv),
- .b(Dg2qw6),
- .o(n533));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*~C))"),
- .INIT(16'hbfbb))
- _al_u1400 (
- .a(_al_u1283_o),
- .b(Khvow6),
- .c(_al_u1289_o),
- .d(_al_u1353_o),
- .o(HWDATA[31]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1401 (
- .a(_al_u1274_o),
- .b(_al_u1353_o),
- .c(M94iu6),
- .d(Wvgax6),
- .o(Lqqow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1402 (
- .a(Ljqow6),
- .b(Qiqow6),
- .c(vis_r11_o[29]),
- .d(vis_r9_o[29]),
- .o(_al_u1402_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1403 (
- .a(_al_u1402_o),
- .b(Gkqow6),
- .c(Sjqow6),
- .d(vis_r8_o[29]),
- .e(vis_r10_o[29]),
- .o(_al_u1403_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1404 (
- .a(_al_u1403_o),
- .b(Fgqow6),
- .c(Yfqow6),
- .d(vis_msp_o[27]),
- .e(vis_psp_o[27]),
- .o(_al_u1404_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1405 (
- .a(Ahqow6),
- .b(Hhqow6),
- .c(vis_r12_o[29]),
- .d(vis_r14_o[29]),
- .o(_al_u1405_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1406 (
- .a(_al_u1404_o),
- .b(Wxzhu6),
- .c(_al_u1405_o),
- .d(Dfqow6),
- .e(Sx3qw6),
- .o(_al_u1406_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*~C))"),
- .INIT(16'hbfbb))
- _al_u1407 (
- .a(_al_u1268_o),
- .b(Lqqow6),
- .c(_al_u1406_o),
- .d(Gdqow6),
- .o(HWDATA[29]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1408 (
- .a(_al_u1135_o),
- .b(Lcqow6),
- .c(Z54iu6),
- .d(Wvgax6),
- .o(_al_u1408_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1409 (
- .a(Sjqow6),
- .b(Qiqow6),
- .c(vis_r9_o[16]),
- .d(vis_r10_o[16]),
- .o(_al_u1409_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u141 (
- .a(P5vpw6),
- .b(Vzupw6),
- .o(_al_u141_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1410 (
- .a(Ljqow6),
- .b(Gkqow6),
- .c(vis_r11_o[16]),
- .d(vis_r8_o[16]),
- .o(_al_u1410_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1411 (
- .a(_al_u1409_o),
- .b(_al_u1410_o),
- .c(Yfqow6),
- .d(vis_psp_o[14]),
- .o(_al_u1411_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1412 (
- .a(Dfqow6),
- .b(Ahqow6),
- .c(Chwpw6),
- .d(vis_r14_o[16]),
- .o(_al_u1412_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1413 (
- .a(_al_u1411_o),
- .b(_al_u1412_o),
- .c(Fgqow6),
- .d(vis_msp_o[14]),
- .o(_al_u1413_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1414 (
- .a(_al_u1413_o),
- .b(Q10iu6),
- .c(Hhqow6),
- .d(vis_r12_o[16]),
- .o(_al_u1414_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*~B))"),
- .INIT(8'h75))
- _al_u1415 (
- .a(_al_u1408_o),
- .b(_al_u1414_o),
- .c(Gdqow6),
- .o(HWDATA[16]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1416 (
- .a(Bclpw6),
- .b(Yklpw6),
- .o(_al_u1416_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~E*D*C*B))"),
- .INIT(32'haaaa2aaa))
- _al_u1417 (
- .a(I6yhu6_lutinv),
- .b(Flzhu6_lutinv),
- .c(_al_u1416_o),
- .d(Jflpw6),
- .e(Krlpw6),
- .o(_al_u1417_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u1418 (
- .a(_al_u616_o),
- .b(_al_u630_o),
- .c(Sdlpw6),
- .o(M7zhu6));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(~E*~D*C*B))"),
- .INIT(32'h55555515))
- _al_u1419 (
- .a(Vp3iu6_lutinv),
- .b(Golpw6),
- .c(Krlpw6),
- .d(Vplpw6),
- .e(Zslpw6),
- .o(_al_u1419_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u142 (
- .a(T1vpw6),
- .b(Xxupw6),
- .o(_al_u142_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1420 (
- .a(M7zhu6),
- .b(_al_u1419_o),
- .o(_al_u1420_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1421 (
- .a(Jflpw6),
- .b(Yklpw6),
- .o(Epyhu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u1422 (
- .a(Epyhu6),
- .b(Bclpw6),
- .c(Sdlpw6),
- .o(_al_u1422_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u1423 (
- .a(_al_u616_o),
- .b(Bclpw6),
- .c(Jflpw6),
- .d(Sdlpw6),
- .o(_al_u1423_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~B*~(C*A)))"),
- .INIT(16'h00ec))
- _al_u1424 (
- .a(_al_u1422_o),
- .b(_al_u1423_o),
- .c(Kalpw6),
- .d(Rilpw6),
- .o(_al_u1424_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1425 (
- .a(Kalpw6),
- .b(Yklpw6),
- .o(_al_u1425_o));
- AL_MAP_LUT4 #(
- .EQN("(D*A*(C@B))"),
- .INIT(16'h2800))
- _al_u1426 (
- .a(_al_u1425_o),
- .b(Bclpw6),
- .c(Jflpw6),
- .d(Sdlpw6),
- .o(Dsyhu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u1427 (
- .a(_al_u1417_o),
- .b(_al_u1420_o),
- .c(_al_u1424_o),
- .d(Dsyhu6_lutinv),
- .o(_al_u1427_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(C@(D*B)))"),
- .INIT(16'h28a0))
- _al_u1428 (
- .a(T8yhu6_lutinv),
- .b(_al_u130_o),
- .c(Jflpw6),
- .d(Kalpw6),
- .o(_al_u1428_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*~(D*~(~C*A)))"),
- .INIT(16'hfdcc))
- _al_u1429 (
- .a(_al_u1427_o),
- .b(_al_u1428_o),
- .c(_al_u631_o),
- .d(U5yhu6),
- .o(Zehpw6[3]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u143 (
- .a(Hirpw6),
- .b(Vzupw6),
- .o(Fq8iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1430 (
- .a(_al_u1422_o),
- .b(Kalpw6),
- .o(_al_u1430_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(B*C*~(D)*~(E)+~(B)*C*D*~(E)+B*~(C)*~(D)*E))"),
- .INIT(32'h00082080))
- _al_u1431 (
- .a(Epyhu6),
- .b(Bclpw6),
- .c(Kalpw6),
- .d(Rilpw6),
- .e(Sdlpw6),
- .o(Vnyhu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(D*~(~C*~B*~(E*~A)))"),
- .INIT(32'hfd00fc00))
- _al_u1432 (
- .a(I6yhu6_lutinv),
- .b(_al_u1430_o),
- .c(Vnyhu6_lutinv),
- .d(U5yhu6),
- .e(Yklpw6),
- .o(_al_u1432_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~A*~(B*(E@C)))"),
- .INIT(32'h00510015))
- _al_u1433 (
- .a(_al_u1432_o),
- .b(T8yhu6_lutinv),
- .c(_al_u653_o),
- .d(_al_u1045_o),
- .e(Yklpw6),
- .o(_al_u1433_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~(~D*C*~B))"),
- .INIT(16'h5575))
- _al_u1434 (
- .a(_al_u1433_o),
- .b(Mmyhu6),
- .c(_al_u632_o),
- .d(Kwlpw6),
- .o(Zehpw6[4]));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1435 (
- .a(_al_u121_o),
- .b(Sq3ju6),
- .c(_al_u190_o),
- .o(_al_u1435_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1436 (
- .a(T1vpw6),
- .b(Yvjpw6),
- .o(Nkaju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~A*~(C*B))"),
- .INIT(16'h0015))
- _al_u1437 (
- .a(_al_u1435_o),
- .b(Pt2ju6),
- .c(Pthiu6),
- .d(Nkaju6_lutinv),
- .o(_al_u1437_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(~C*~A))"),
- .INIT(8'h32))
- _al_u1438 (
- .a(Owoiu6),
- .b(_al_u907_o),
- .c(T1vpw6),
- .o(_al_u1438_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~A*~(C*B)))"),
- .INIT(16'hea00))
- _al_u1439 (
- .a(_al_u1438_o),
- .b(_al_u148_o),
- .c(_al_u688_o),
- .d(Hirpw6),
- .o(_al_u1439_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~((C*B))*~(D)+A*(C*B)*~(D)+~(A)*(C*B)*D+A*(C*B)*D)"),
- .INIT(16'h3f55))
- _al_u144 (
- .a(_al_u141_o),
- .b(_al_u142_o),
- .c(Fq8iu6),
- .d(R3vpw6),
- .o(_al_u144_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1440 (
- .a(Hirpw6),
- .b(Vzupw6),
- .o(_al_u1440_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C*A))"),
- .INIT(8'h13))
- _al_u1441 (
- .a(_al_u1440_o),
- .b(_al_u410_o),
- .c(_al_u688_o),
- .o(_al_u1441_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~B*A*~(D*~C)))"),
- .INIT(32'hdfdd0000))
- _al_u1442 (
- .a(_al_u1437_o),
- .b(_al_u1439_o),
- .c(_al_u1441_o),
- .d(Ufopw6),
- .e(Vgjpw6),
- .o(_al_u1442_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1443 (
- .a(_al_u141_o),
- .b(R3vpw6),
- .o(Bi0iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf135))
- _al_u1444 (
- .a(Bi0iu6),
- .b(Nkaju6_lutinv),
- .c(Aujpw6),
- .d(Xxupw6),
- .o(_al_u1444_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1445 (
- .a(Vzupw6),
- .b(Xxupw6),
- .o(_al_u1445_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~(~D*C)*~(E*A)))"),
- .INIT(32'h88c800c0))
- _al_u1446 (
- .a(S2ziu6_lutinv),
- .b(_al_u1445_o),
- .c(Hirpw6),
- .d(Ufopw6),
- .e(Ydopw6),
- .o(_al_u1446_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~C*B*A))"),
- .INIT(16'hf700))
- _al_u1447 (
- .a(Lu0iu6),
- .b(_al_u1444_o),
- .c(_al_u1446_o),
- .d(Vgjpw6),
- .o(_al_u1447_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1448 (
- .a(Pt2ju6),
- .b(_al_u398_o),
- .c(Vgjpw6),
- .o(Zf7ju6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1449 (
- .a(Zf7ju6),
- .b(Rkkax6),
- .o(_al_u1449_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u145 (
- .a(Aujpw6),
- .b(Ufopw6),
- .o(_al_u145_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1450 (
- .a(Hirpw6),
- .b(T1vpw6),
- .o(Sy2ju6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1451 (
- .a(_al_u194_o),
- .b(Sy2ju6),
- .c(Vgjpw6),
- .o(_al_u1451_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*~((~(E*D)*~C))+A*B*~((~(E*D)*~C))+~(A)*~(B)*(~(E*D)*~C)+A*~(B)*(~(E*D)*~C)+A*B*(~(E*D)*~C))"),
- .INIT(32'hcccbcbcb))
- _al_u1452 (
- .a(_al_u1442_o),
- .b(_al_u1447_o),
- .c(_al_u1449_o),
- .d(_al_u1451_o),
- .e(Rkkax6),
- .o(_al_u1452_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1453 (
- .a(_al_u1442_o),
- .b(_al_u1447_o),
- .o(A85ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*C))"),
- .INIT(16'h0222))
- _al_u1454 (
- .a(_al_u1447_o),
- .b(_al_u1449_o),
- .c(_al_u1451_o),
- .d(Rkkax6),
- .o(T75ju6));
- AL_MAP_LUT4 #(
- .EQN("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hfb73))
- _al_u1455 (
- .a(_al_u1398_o),
- .b(_al_u1452_o),
- .c(A85ju6_lutinv),
- .d(T75ju6),
- .o(D5epw6));
- AL_MAP_LUT4 #(
- .EQN("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hfb73))
- _al_u1456 (
- .a(_al_u1359_o),
- .b(_al_u1452_o),
- .c(A85ju6_lutinv),
- .d(T75ju6),
- .o(Qbfpw6[25]));
- AL_MAP_LUT4 #(
- .EQN("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hfb73))
- _al_u1457 (
- .a(_al_u1370_o),
- .b(_al_u1452_o),
- .c(A85ju6_lutinv),
- .d(T75ju6),
- .o(Qbfpw6[26]));
- AL_MAP_LUT4 #(
- .EQN("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hfb73))
- _al_u1458 (
- .a(_al_u1376_o),
- .b(_al_u1452_o),
- .c(A85ju6_lutinv),
- .d(T75ju6),
- .o(Qbfpw6[27]));
- AL_MAP_LUT4 #(
- .EQN("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hfb73))
- _al_u1459 (
- .a(_al_u1384_o),
- .b(_al_u1452_o),
- .c(A85ju6_lutinv),
- .d(T75ju6),
- .o(Qbfpw6[28]));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u146 (
- .a(_al_u144_o),
- .b(_al_u145_o),
- .c(HREADY),
- .o(Jzmiu6));
- AL_MAP_LUT4 #(
- .EQN("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hfb73))
- _al_u1460 (
- .a(_al_u1406_o),
- .b(_al_u1452_o),
- .c(A85ju6_lutinv),
- .d(T75ju6),
- .o(Qbfpw6[29]));
- AL_MAP_LUT4 #(
- .EQN("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hfb73))
- _al_u1461 (
- .a(_al_u1392_o),
- .b(_al_u1452_o),
- .c(A85ju6_lutinv),
- .d(T75ju6),
- .o(Qbfpw6[30]));
- AL_MAP_LUT4 #(
- .EQN("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'hfb73))
- _al_u1462 (
- .a(_al_u1351_o),
- .b(_al_u1452_o),
- .c(A85ju6_lutinv),
- .d(T75ju6),
- .o(Qbfpw6[24]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1463 (
- .a(R3vpw6),
- .b(Vzupw6),
- .o(_al_u1463_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(B*~((D*C))*~(E)+B*(D*C)*~(E)+~(B)*(D*C)*E+B*(D*C)*E))"),
- .INIT(32'ha0008888))
- _al_u1464 (
- .a(_al_u121_o),
- .b(_al_u1091_o),
- .c(_al_u1463_o),
- .d(Aujpw6),
- .e(Ufopw6),
- .o(_al_u1464_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(~D*C))"),
- .INIT(16'h2202))
- _al_u1465 (
- .a(D6kiu6_lutinv),
- .b(Cc2ju6_lutinv),
- .c(_al_u638_o),
- .d(T1vpw6),
- .o(_al_u1465_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~C*~B*~A))"),
- .INIT(16'hfe00))
- _al_u1466 (
- .a(_al_u1084_o),
- .b(_al_u1464_o),
- .c(_al_u1465_o),
- .d(Vgjpw6),
- .o(Kc6ju6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1467 (
- .a(Zf7ju6),
- .b(_al_u1451_o),
- .c(Lgkax6),
- .d(P0kax6),
- .o(_al_u1467_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u1468 (
- .a(Kc6ju6),
- .b(_al_u1467_o),
- .c(V6jax6),
- .o(_al_u1468_o));
- AL_MAP_LUT4 #(
- .EQN("~(D@(B*~(C*~A)))"),
- .INIT(16'h8c73))
- _al_u1469 (
- .a(I28ju6),
- .b(_al_u1468_o),
- .c(_al_u1442_o),
- .d(_al_u1447_o),
- .o(Q5phu6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B)"),
- .INIT(8'hd1))
- _al_u147 (
- .a(X5phu6),
- .b(n3724),
- .c(Daiax6),
- .o(Ajohu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~A*~(~C*B)))"),
- .INIT(16'hae00))
- _al_u1470 (
- .a(_al_u159_o),
- .b(Cc2ju6_lutinv),
- .c(Xxupw6),
- .d(Ydopw6),
- .o(_al_u1470_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1471 (
- .a(Aujpw6),
- .b(Ufopw6),
- .o(Yi7ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~B*~(~D*~C)))"),
- .INIT(16'h888a))
- _al_u1472 (
- .a(_al_u194_o),
- .b(Yi7ju6_lutinv),
- .c(Vzupw6),
- .d(Yvjpw6),
- .o(_al_u1472_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~C*~(~A*~(~E*~B)))"),
- .INIT(32'h0a000b00))
- _al_u1473 (
- .a(Fq8iu6),
- .b(Aujpw6),
- .c(P5vpw6),
- .d(R3vpw6),
- .e(Yvjpw6),
- .o(_al_u1473_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*~(~D*~C*~B)))"),
- .INIT(32'h00015555))
- _al_u1474 (
- .a(Zf7ju6),
- .b(_al_u1470_o),
- .c(_al_u1472_o),
- .d(_al_u1473_o),
- .e(Vgjpw6),
- .o(_al_u1474_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1475 (
- .a(_al_u1451_o),
- .b(Jckax6),
- .o(_al_u1475_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(E*~B)*~(D*A))"),
- .INIT(32'h040c050f))
- _al_u1476 (
- .a(Kc6ju6),
- .b(_al_u1474_o),
- .c(_al_u1475_o),
- .d(Ubypw6),
- .e(Umkax6),
- .o(_al_u1476_o));
- AL_MAP_LUT4 #(
- .EQN("~(D@(B*~(C*~A)))"),
- .INIT(16'h8c73))
- _al_u1477 (
- .a(_al_u1187_o),
- .b(_al_u1476_o),
- .c(_al_u1442_o),
- .d(_al_u1447_o),
- .o(E2epw6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1478 (
- .a(HWDATA[15]),
- .b(C1wpw6),
- .o(Fsdiu6));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~B*~(D*~C)))"),
- .INIT(16'h4544))
- _al_u1479 (
- .a(Iekax6),
- .b(Lgkax6),
- .c(Oikax6),
- .d(Rkkax6),
- .o(_al_u1479_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u148 (
- .a(R3vpw6),
- .b(Vzupw6),
- .o(_al_u148_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C*A))"),
- .INIT(8'h13))
- _al_u1480 (
- .a(_al_u720_o),
- .b(_al_u1479_o),
- .c(Fkrpw6),
- .o(_al_u1480_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(D*~(B*~(E*A))))"),
- .INIT(32'h40f0c0f0))
- _al_u1481 (
- .a(_al_u1052_o),
- .b(_al_u1480_o),
- .c(Gpyiu6),
- .d(L45iu6_lutinv),
- .e(V6jax6),
- .o(_al_u1481_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1482 (
- .a(_al_u718_o),
- .b(_al_u156_o),
- .c(Iekax6),
- .d(W4jax6),
- .o(_al_u1482_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u1483 (
- .a(_al_u1482_o),
- .b(A95iu6_lutinv),
- .c(Wfspw6),
- .o(_al_u1483_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*~C))"),
- .INIT(16'h7f77))
- _al_u1484 (
- .a(_al_u1481_o),
- .b(_al_u1483_o),
- .c(_al_u401_o),
- .d(Wkipw6),
- .o(Jn7ow6));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C*A))"),
- .INIT(8'h13))
- _al_u1485 (
- .a(Bciax6),
- .b(Dxvpw6),
- .c(SLEEPHOLDACKn),
- .o(Kxziu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1486 (
- .a(Kxziu6_lutinv),
- .b(Nbkiu6_lutinv),
- .c(Aujiu6),
- .o(_al_u1486_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1487 (
- .a(Kxziu6_lutinv),
- .b(_al_u386_o),
- .o(_al_u1487_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(~C*B))"),
- .INIT(8'h51))
- _al_u1488 (
- .a(_al_u1486_o),
- .b(_al_u1487_o),
- .c(Aujiu6),
- .o(Ubkiu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u1489 (
- .a(Ubkiu6),
- .b(A95iu6_lutinv),
- .c(Llaow6_lutinv),
- .d(Kxziu6_lutinv),
- .e(_al_u398_o),
- .o(_al_u1489_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u149 (
- .a(Aujpw6),
- .b(Yvjpw6),
- .o(S2ziu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1490 (
- .a(Ae0iu6_lutinv),
- .b(Dxvpw6),
- .o(Ia8iu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~E*D*C*B))"),
- .INIT(32'haaaa2aaa))
- _al_u1491 (
- .a(_al_u1489_o),
- .b(Ia8iu6_lutinv),
- .c(Nbkiu6_lutinv),
- .d(Sojax6),
- .e(U9ypw6),
- .o(Yb8iu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1492 (
- .a(Ae0iu6_lutinv),
- .b(Yljiu6),
- .o(U98iu6));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(D*~B))"),
- .INIT(16'h080a))
- _al_u1493 (
- .a(_al_u394_o),
- .b(Irmpw6),
- .c(R3vpw6),
- .d(Ufopw6),
- .o(_al_u1493_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1494 (
- .a(Aujpw6),
- .b(Xxupw6),
- .o(N98iu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~(A*~(~E*~D)))"),
- .INIT(32'h01010103))
- _al_u1495 (
- .a(U98iu6),
- .b(Bi0iu6),
- .c(_al_u1493_o),
- .d(N98iu6_lutinv),
- .e(Fr0iu6_lutinv),
- .o(_al_u1495_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u1496 (
- .a(Ia8iu6_lutinv),
- .b(_al_u1237_o),
- .c(P14qw6),
- .o(_al_u1496_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(~D*C))"),
- .INIT(16'h2202))
- _al_u1497 (
- .a(_al_u1495_o),
- .b(_al_u1496_o),
- .c(Qe8iu6_lutinv),
- .d(R3vpw6),
- .o(_al_u1497_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~A*~(C*B)))"),
- .INIT(16'hea00))
- _al_u1498 (
- .a(N98iu6_lutinv),
- .b(_al_u142_o),
- .c(_al_u638_o),
- .d(P5vpw6),
- .o(_al_u1498_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*~A)"),
- .INIT(16'h0040))
- _al_u1499 (
- .a(Ae0iu6_lutinv),
- .b(Frziu6_lutinv),
- .c(R3vpw6),
- .d(Ydopw6),
- .o(_al_u1499_o));
- AL_MAP_LUT2 #(
- .EQN("(B@A)"),
- .INIT(4'h6))
- _al_u15 (
- .a(Qsfax6),
- .b(Xxqpw6),
- .o(Iqzhu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u150 (
- .a(R3vpw6),
- .b(Ufopw6),
- .o(Owoiu6));
- AL_MAP_LUT4 #(
- .EQN("(~C*~A*~(D*B))"),
- .INIT(16'h0105))
- _al_u1500 (
- .a(_al_u1498_o),
- .b(U98iu6),
- .c(_al_u1499_o),
- .d(_al_u142_o),
- .o(_al_u1500_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~A*~(C*~B)))"),
- .INIT(16'hba00))
- _al_u1501 (
- .a(_al_u1067_o),
- .b(_al_u142_o),
- .c(D6kiu6_lutinv),
- .d(Vzjpw6),
- .o(Tc8iu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(~D*~B))"),
- .INIT(32'h0a08aa88))
- _al_u1502 (
- .a(_al_u1497_o),
- .b(_al_u1500_o),
- .c(Tc8iu6),
- .d(Sqkax6),
- .e(Xdspw6),
- .o(_al_u1502_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1503 (
- .a(Nbkiu6_lutinv),
- .b(Skjax6),
- .o(_al_u1503_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1504 (
- .a(_al_u1503_o),
- .b(Kxziu6_lutinv),
- .o(_al_u1504_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~A*~(C*B)))"),
- .INIT(16'h00ea))
- _al_u1505 (
- .a(_al_u1504_o),
- .b(Ia8iu6_lutinv),
- .c(_al_u386_o),
- .d(U9ypw6),
- .o(_al_u1505_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*~C*B))"),
- .INIT(16'h5551))
- _al_u1506 (
- .a(_al_u1505_o),
- .b(_al_u718_o),
- .c(Ae0iu6_lutinv),
- .d(U9ypw6),
- .o(Hd8iu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(B*~(D*~C)*~(E*~A))"),
- .INIT(32'h8088c0cc))
- _al_u1507 (
- .a(Yb8iu6),
- .b(_al_u1502_o),
- .c(Hd8iu6_lutinv),
- .d(W4jax6),
- .e(Wfspw6),
- .o(_al_u1507_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1508 (
- .a(_al_u1487_o),
- .b(Ssjax6),
- .o(Ttjiu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1509 (
- .a(_al_u400_o),
- .b(Sojax6),
- .o(_al_u1509_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(~(C*B)*~(E*A)))"),
- .INIT(32'hea00c000))
- _al_u151 (
- .a(_al_u148_o),
- .b(S2ziu6_lutinv),
- .c(Owoiu6),
- .d(P5vpw6),
- .e(T1vpw6),
- .o(Wofiu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~(C*B)*~(D*A)))"),
- .INIT(32'heac00000))
- _al_u1510 (
- .a(Ttjiu6_lutinv),
- .b(Ia8iu6_lutinv),
- .c(_al_u1509_o),
- .d(Skjax6),
- .e(U9ypw6),
- .o(E88iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D@C@B@A)"),
- .INIT(16'h6996))
- _al_u1511 (
- .a(Irmpw6),
- .b(S7mpw6),
- .c(Wfspw6),
- .d(Wkipw6),
- .o(_al_u1511_o));
- AL_MAP_LUT4 #(
- .EQN("(D@C@B@A)"),
- .INIT(16'h6996))
- _al_u1512 (
- .a(_al_u1511_o),
- .b(Jckax6),
- .c(Jgxpw6),
- .d(W4jax6),
- .o(_al_u1512_o));
- AL_MAP_LUT5 #(
- .EQN("~(A*~(B*(E@D@C)))"),
- .INIT(32'hd55d5dd5))
- _al_u1513 (
- .a(_al_u1507_o),
- .b(E88iu6_lutinv),
- .c(_al_u1512_o),
- .d(L88iu6_lutinv),
- .e(N4kax6),
- .o(Y48iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1514 (
- .a(Azeiu6),
- .b(N8rpw6),
- .o(_al_u1514_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*~B))"),
- .INIT(16'h4555))
- _al_u1515 (
- .a(_al_u734_o),
- .b(Wofiu6_lutinv),
- .c(Lp7ax6),
- .d(Zszax6),
- .o(L9eiu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u1516 (
- .a(_al_u1514_o),
- .b(L9eiu6_lutinv),
- .c(_al_u734_o),
- .o(Q8eiu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1517 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(Coupw6),
- .d(J7xax6),
- .o(_al_u1517_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u1518 (
- .a(_al_u1514_o),
- .b(L9eiu6_lutinv),
- .c(_al_u734_o),
- .o(J8eiu6));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1519 (
- .a(_al_u1517_o),
- .b(J8eiu6),
- .c(L6gpw6[23]),
- .o(S8uhu6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u152 (
- .a(Wofiu6_lutinv),
- .b(Gr2qw6),
- .c(Isjpw6),
- .o(HALTED));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1520 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(D7gbx6),
- .d(F9gbx6),
- .o(_al_u1520_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1521 (
- .a(_al_u1520_o),
- .b(J8eiu6),
- .c(L6gpw6[22]),
- .o(Z8uhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1522 (
- .a(J8eiu6),
- .b(L9eiu6_lutinv),
- .c(Tjkpw6),
- .d(L6gpw6[21]),
- .o(_al_u1522_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1523 (
- .a(_al_u1522_o),
- .b(Q8eiu6_lutinv),
- .c(Rhkpw6),
- .o(G9uhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1524 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(X6jpw6),
- .d(Z8jpw6),
- .o(_al_u1524_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1525 (
- .a(_al_u1524_o),
- .b(J8eiu6),
- .c(L6gpw6[20]),
- .o(N9uhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1526 (
- .a(J8eiu6),
- .b(L9eiu6_lutinv),
- .c(Nr7ax6),
- .d(L6gpw6[19]),
- .o(_al_u1526_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1527 (
- .a(_al_u1526_o),
- .b(Q8eiu6_lutinv),
- .c(Hhvpw6),
- .o(U9uhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1528 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(Lywpw6),
- .d(N0xpw6),
- .o(_al_u1528_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1529 (
- .a(_al_u1528_o),
- .b(J8eiu6),
- .c(L6gpw6[18]),
- .o(Bauhu6));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C*A))"),
- .INIT(8'h13))
- _al_u153 (
- .a(Bciax6),
- .b(Vygax6),
- .c(SLEEPHOLDACKn),
- .o(_al_u153_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1530 (
- .a(J8eiu6),
- .b(L9eiu6_lutinv),
- .c(Amupw6),
- .d(L6gpw6[17]),
- .o(_al_u1530_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1531 (
- .a(_al_u1530_o),
- .b(Q8eiu6_lutinv),
- .c(Yjupw6),
- .o(Iauhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1532 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(Ujspw6),
- .d(Wlspw6),
- .o(_al_u1532_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1533 (
- .a(_al_u1532_o),
- .b(J8eiu6),
- .c(L6gpw6[16]),
- .o(Pauhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1534 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(L9xax6),
- .d(Nbxax6),
- .o(_al_u1534_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1535 (
- .a(_al_u1534_o),
- .b(J8eiu6),
- .c(L6gpw6[15]),
- .o(Wauhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1536 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(Pdxax6),
- .d(Rfxax6),
- .o(_al_u1536_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1537 (
- .a(_al_u1536_o),
- .b(J8eiu6),
- .c(L6gpw6[14]),
- .o(Dbuhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1538 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(R7kpw6),
- .d(T9kpw6),
- .o(_al_u1538_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1539 (
- .a(_al_u1538_o),
- .b(J8eiu6),
- .c(L6gpw6[13]),
- .o(Kbuhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u154 (
- .a(T1vpw6),
- .b(Vzupw6),
- .o(_al_u154_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1540 (
- .a(J8eiu6),
- .b(L9eiu6_lutinv),
- .c(V0jpw6),
- .d(L6gpw6[12]),
- .o(_al_u1540_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1541 (
- .a(_al_u1540_o),
- .b(Q8eiu6_lutinv),
- .c(Tyipw6),
- .o(Rbuhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1542 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(Ofmpw6),
- .d(Pt7ax6),
- .o(_al_u1542_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1543 (
- .a(_al_u1542_o),
- .b(J8eiu6),
- .c(L6gpw6[11]),
- .o(Ybuhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1544 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(Tptpw6),
- .d(Vrtpw6),
- .o(_al_u1544_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1545 (
- .a(_al_u1544_o),
- .b(J8eiu6),
- .c(L6gpw6[10]),
- .o(Fcuhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1546 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(Tmjbx6),
- .d(Uojbx6),
- .o(_al_u1546_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1547 (
- .a(_al_u1546_o),
- .b(J8eiu6),
- .c(L6gpw6[9]),
- .o(Mcuhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1548 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(Rq0qw6),
- .d(Ss0qw6),
- .o(_al_u1548_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1549 (
- .a(_al_u1548_o),
- .b(J8eiu6),
- .c(L6gpw6[8]),
- .o(Tcuhu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u155 (
- .a(Hirpw6),
- .b(R3vpw6),
- .o(_al_u155_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1550 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(Thxax6),
- .d(Ujxax6),
- .o(_al_u1550_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1551 (
- .a(_al_u1550_o),
- .b(J8eiu6),
- .c(L6gpw6[7]),
- .o(Aduhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1552 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(Ox9bx6),
- .d(Rv7ax6),
- .o(_al_u1552_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1553 (
- .a(_al_u1552_o),
- .b(J8eiu6),
- .c(L6gpw6[6]),
- .o(Hduhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1554 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(X5opw6),
- .d(Y7opw6),
- .o(_al_u1554_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1555 (
- .a(_al_u1554_o),
- .b(J8eiu6),
- .c(L6gpw6[5]),
- .o(Oduhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1556 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(Imhbx6),
- .d(Johbx6),
- .o(_al_u1556_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1557 (
- .a(_al_u1556_o),
- .b(J8eiu6),
- .c(L6gpw6[4]),
- .o(Vduhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1558 (
- .a(J8eiu6),
- .b(L9eiu6_lutinv),
- .c(P0ibx6),
- .d(L6gpw6[3]),
- .o(_al_u1558_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1559 (
- .a(_al_u1558_o),
- .b(Q8eiu6_lutinv),
- .c(Oyhbx6),
- .o(Ceuhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u156 (
- .a(Ufopw6),
- .b(Ydopw6),
- .o(_al_u156_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1560 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(Kzabx6),
- .d(Vlxax6),
- .o(_al_u1560_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1561 (
- .a(_al_u1560_o),
- .b(J8eiu6),
- .c(L6gpw6[2]),
- .o(Jeuhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1562 (
- .a(J8eiu6),
- .b(L9eiu6_lutinv),
- .c(Oarpw6),
- .d(L6gpw6[1]),
- .o(_al_u1562_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1563 (
- .a(_al_u1562_o),
- .b(Q8eiu6_lutinv),
- .c(Wnxax6),
- .o(Qeuhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1564 (
- .a(Q8eiu6_lutinv),
- .b(L9eiu6_lutinv),
- .c(M6rpw6),
- .d(N8rpw6),
- .o(_al_u1564_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u1565 (
- .a(_al_u1564_o),
- .b(J8eiu6),
- .c(L6gpw6[0]),
- .o(Xeuhu6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1566 (
- .a(T8row6),
- .b(Ukbpw6_lutinv),
- .c(Rskax6),
- .o(_al_u1566_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(~D*~C))"),
- .INIT(16'h2220))
- _al_u1567 (
- .a(_al_u1566_o),
- .b(_al_u1154_o),
- .c(Wofiu6_lutinv),
- .d(Ubypw6),
- .o(_al_u1567_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~C*~B*~A))"),
- .INIT(16'hfe00))
- _al_u1568 (
- .a(_al_u1061_o),
- .b(_al_u1567_o),
- .c(_al_u956_o),
- .d(HREADY),
- .o(Fkliu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1569 (
- .a(Uzaiu6),
- .b(_al_u145_o),
- .o(Yi8iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u157 (
- .a(_al_u153_o),
- .b(_al_u154_o),
- .c(_al_u155_o),
- .d(_al_u156_o),
- .o(_al_u157_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~A*~(D*~B))"),
- .INIT(16'h0405))
- _al_u1570 (
- .a(_al_u1154_o),
- .b(Wofiu6_lutinv),
- .c(Rskax6),
- .d(Ubypw6),
- .o(Ph8iu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1571 (
- .a(Yi8iu6_lutinv),
- .b(Ph8iu6_lutinv),
- .o(Ug8iu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~C*(B@A))"),
- .INIT(8'h06))
- _al_u1572 (
- .a(Aujpw6),
- .b(Vzupw6),
- .c(Yvjpw6),
- .o(_al_u1572_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~A*~(C*B))"),
- .INIT(16'h0015))
- _al_u1573 (
- .a(_al_u1572_o),
- .b(_al_u194_o),
- .c(Ldoiu6_lutinv),
- .d(_al_u1099_o),
- .o(_al_u1573_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(D*~B*A))"),
- .INIT(16'hd0f0))
- _al_u1574 (
- .a(Vo3ju6_lutinv),
- .b(_al_u685_o),
- .c(Hirpw6),
- .d(Yvjpw6),
- .o(_al_u1574_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*A*~(~E*D*C))"),
- .INIT(32'h22220222))
- _al_u1575 (
- .a(_al_u1573_o),
- .b(_al_u1574_o),
- .c(Vo3ju6_lutinv),
- .d(T1vpw6),
- .e(Xxupw6),
- .o(_al_u1575_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*~A))"),
- .INIT(16'h2a3f))
- _al_u1576 (
- .a(_al_u1575_o),
- .b(_al_u410_o),
- .c(_al_u1091_o),
- .d(Ydopw6),
- .o(Fhoiu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u1577 (
- .a(Ug8iu6_lutinv),
- .b(Fhoiu6),
- .c(HREADY),
- .o(Y5liu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~B*~(~C*A)))"),
- .INIT(16'hce00))
- _al_u1578 (
- .a(_al_u194_o),
- .b(Hirpw6),
- .c(T1vpw6),
- .d(Ydopw6),
- .o(_al_u1578_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(~D*B))"),
- .INIT(16'h0a02))
- _al_u1579 (
- .a(_al_u1578_o),
- .b(Vo3ju6_lutinv),
- .c(_al_u121_o),
- .d(_al_u685_o),
- .o(_al_u1579_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u158 (
- .a(Bciax6),
- .b(SLEEPHOLDACKn),
- .c(Xxupw6),
- .o(_al_u158_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1580 (
- .a(Vzupw6),
- .b(Yvjpw6),
- .o(_al_u1580_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1581 (
- .a(_al_u1580_o),
- .b(D31ju6),
- .o(Nz2ju6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1582 (
- .a(Ufopw6),
- .b(Xxupw6),
- .o(_al_u1582_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*C))"),
- .INIT(16'h0111))
- _al_u1583 (
- .a(_al_u1579_o),
- .b(Nz2ju6),
- .c(_al_u410_o),
- .d(_al_u1582_o),
- .o(Im2ju6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u1584 (
- .a(Pt2ju6),
- .b(Cc2ju6_lutinv),
- .c(Aujpw6),
- .d(Hirpw6),
- .o(_al_u1584_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u1585 (
- .a(_al_u1584_o),
- .b(_al_u1095_o),
- .c(Vzupw6),
- .o(_al_u1585_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~A*~(~D*B)))"),
- .INIT(16'ha0e0))
- _al_u1586 (
- .a(_al_u159_o),
- .b(Sq3ju6),
- .c(Ydopw6),
- .d(Yvjpw6),
- .o(_al_u1586_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(D*~B))"),
- .INIT(16'h080a))
- _al_u1587 (
- .a(Im2ju6),
- .b(_al_u1585_o),
- .c(_al_u1586_o),
- .d(Nkaju6_lutinv),
- .o(Ng8iu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u1588 (
- .a(Ug8iu6_lutinv),
- .b(Ng8iu6),
- .c(HREADY),
- .o(Zf8iu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1589 (
- .a(_al_u1487_o),
- .b(Skjax6),
- .o(Z4jiu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u159 (
- .a(Hirpw6),
- .b(R3vpw6),
- .o(_al_u159_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B*~(D*~C)))"),
- .INIT(16'h2a22))
- _al_u1590 (
- .a(Z4jiu6_lutinv),
- .b(Rwjax6),
- .c(Sojax6),
- .d(Ssjax6),
- .o(_al_u1590_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u1591 (
- .a(_al_u1590_o),
- .b(Ia8iu6_lutinv),
- .c(_al_u1503_o),
- .d(U9ypw6),
- .o(Ljiiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1592 (
- .a(Skjax6),
- .b(Ydopw6),
- .o(_al_u1592_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1593 (
- .a(Hgrpw6),
- .b(U9ypw6),
- .o(Jiiiu6));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*~B*~(~D*~C)))"),
- .INIT(32'h44455555))
- _al_u1594 (
- .a(_al_u1504_o),
- .b(Ae0iu6_lutinv),
- .c(_al_u386_o),
- .d(_al_u1592_o),
- .e(Jiiiu6),
- .o(Hhiiu6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(~D*C))"),
- .INIT(16'h8808))
- _al_u1595 (
- .a(Ljiiu6),
- .b(Hhiiu6),
- .c(Z4jiu6_lutinv),
- .d(Ssjax6),
- .o(_al_u1595_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1596 (
- .a(Jiiiu6),
- .b(Dxvpw6),
- .o(Dmiiu6));
- AL_MAP_LUT4 #(
- .EQN("(~A*(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
- .INIT(16'h4450))
- _al_u1597 (
- .a(Ae0iu6_lutinv),
- .b(Hgrpw6),
- .c(T1vpw6),
- .d(Ydopw6),
- .o(Kmiiu6));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(~E*D*~C*A))"),
- .INIT(32'h33333133))
- _al_u1598 (
- .a(Dmiiu6),
- .b(Kmiiu6),
- .c(Ae0iu6_lutinv),
- .d(Nbkiu6_lutinv),
- .e(Skjax6),
- .o(_al_u1598_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1599 (
- .a(Qxoiu6),
- .b(Sojax6),
- .o(F6ziu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u16 (
- .a(Iqzhu6_lutinv),
- .b(I4rpw6),
- .o(T24iu6));
- AL_MAP_LUT4 #(
- .EQN("~(~A*~(D*C*B))"),
- .INIT(16'heaaa))
- _al_u160 (
- .a(_al_u157_o),
- .b(_al_u158_o),
- .c(_al_u159_o),
- .d(T1vpw6),
- .o(Mihow6));
- AL_MAP_LUT5 #(
- .EQN("(~B*A*~(C*~(E*~D)))"),
- .INIT(32'h02220202))
- _al_u1600 (
- .a(_al_u1598_o),
- .b(_al_u1486_o),
- .c(_al_u1487_o),
- .d(F6ziu6),
- .e(Skjax6),
- .o(_al_u1600_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u1601 (
- .a(Ia8iu6_lutinv),
- .b(Nbkiu6_lutinv),
- .c(Aujiu6),
- .d(N4kax6),
- .o(_al_u1601_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(16'h0511))
- _al_u1602 (
- .a(_al_u1601_o),
- .b(Ae0iu6_lutinv),
- .c(_al_u1463_o),
- .d(P5vpw6),
- .o(Aaiiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1603 (
- .a(Dxvpw6),
- .b(P14qw6),
- .o(_al_u1603_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u1604 (
- .a(Ae0iu6_lutinv),
- .b(_al_u1603_o),
- .c(J9kiu6_lutinv),
- .o(_al_u1604_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(D*C)*~(E*~A))"),
- .INIT(32'h08880ccc))
- _al_u1605 (
- .a(_al_u1600_o),
- .b(Aaiiu6),
- .c(_al_u1604_o),
- .d(N4kax6),
- .e(P0kax6),
- .o(_al_u1605_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*~A))"),
- .INIT(8'h73))
- _al_u1606 (
- .a(_al_u1595_o),
- .b(_al_u1605_o),
- .c(Wfspw6),
- .o(W7iiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1607 (
- .a(Dxvpw6),
- .b(Skjax6),
- .o(Y40ju6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u1608 (
- .a(F6ziu6),
- .b(_al_u386_o),
- .c(Y40ju6),
- .d(U9ypw6),
- .o(Dd7ow6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u1609 (
- .a(Dd7ow6),
- .b(Gr2qw6),
- .c(P0kax6),
- .d(Vygax6),
- .o(_al_u1609_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u161 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[17]),
- .d(Tujbx6),
- .o(Mbohu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1610 (
- .a(Vygax6),
- .b(Ydopw6),
- .o(_al_u1610_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~A*~(D*C)))"),
- .INIT(16'hc888))
- _al_u1611 (
- .a(_al_u1087_o),
- .b(_al_u1610_o),
- .c(Dxvpw6),
- .d(Hgrpw6),
- .o(_al_u1611_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(~D*C)*~(E*B))"),
- .INIT(32'h11015505))
- _al_u1612 (
- .a(_al_u1611_o),
- .b(_al_u145_o),
- .c(_al_u690_o),
- .d(_al_u1155_o),
- .e(Pu1ju6_lutinv),
- .o(_al_u1612_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~A*~(C*~(E*~D)))"),
- .INIT(32'h04440404))
- _al_u1613 (
- .a(_al_u1609_o),
- .b(_al_u1612_o),
- .c(Ae0iu6_lutinv),
- .d(_al_u638_o),
- .e(P5vpw6),
- .o(_al_u1613_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~C)*~(B*A))"),
- .INIT(16'h7770))
- _al_u1614 (
- .a(_al_u154_o),
- .b(Hirpw6),
- .c(Xxupw6),
- .d(Yvjpw6),
- .o(_al_u1614_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~C*B))"),
- .INIT(16'ha2aa))
- _al_u1615 (
- .a(_al_u1613_o),
- .b(_al_u956_o),
- .c(_al_u1614_o),
- .d(Yljiu6),
- .o(_al_u1615_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u1616 (
- .a(_al_u1087_o),
- .b(_al_u142_o),
- .c(Aujpw6),
- .d(Ufopw6),
- .o(_al_u1616_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u1617 (
- .a(_al_u688_o),
- .b(Dxvpw6),
- .c(Hgrpw6),
- .d(T1vpw6),
- .o(_al_u1617_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(~A*(~B*~(E)*~(C)+~B*E*~(C)+~(~B)*E*C+~B*E*C)))"),
- .INIT(32'h00ae00fe))
- _al_u1618 (
- .a(_al_u1616_o),
- .b(_al_u1617_o),
- .c(P5vpw6),
- .d(R3vpw6),
- .e(Vygax6),
- .o(_al_u1618_o));
- AL_MAP_LUT5 #(
- .EQN("(~((~B*A))*~(C)*D*~(E)+~((~B*A))*C*D*~(E)+~((~B*A))*~(C)*~(D)*E+(~B*A)*~(C)*~(D)*E+~((~B*A))*C*~(D)*E+(~B*A)*C*~(D)*E+~((~B*A))*~(C)*D*E+~((~B*A))*C*D*E+(~B*A)*C*D*E)"),
- .INIT(32'hfdffdd00))
- _al_u1619 (
- .a(_al_u1615_o),
- .b(_al_u1618_o),
- .c(_al_u1099_o),
- .d(HREADY),
- .e(P5vpw6),
- .o(Oqohu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u162 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[18]),
- .d(Usjbx6),
- .o(Tbohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1620 (
- .a(Zf7ju6),
- .b(_al_u1451_o),
- .c(Oikax6),
- .d(Rwjax6),
- .o(_al_u1620_o));
- AL_MAP_LUT4 #(
- .EQN("~(C@(D*~(B*~A)))"),
- .INIT(16'hb40f))
- _al_u1621 (
- .a(Ka8ju6),
- .b(_al_u1442_o),
- .c(_al_u1447_o),
- .d(_al_u1620_o),
- .o(Qbfpw6[10]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1622 (
- .a(Zf7ju6),
- .b(_al_u1451_o),
- .c(Iekax6),
- .d(N4kax6),
- .o(_al_u1622_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u1623 (
- .a(Kc6ju6),
- .b(_al_u1622_o),
- .c(Umkax6),
- .o(_al_u1623_o));
- AL_MAP_LUT4 #(
- .EQN("~(D@(B*~(C*~A)))"),
- .INIT(16'h8c73))
- _al_u1624 (
- .a(Cz7ju6),
- .b(_al_u1623_o),
- .c(_al_u1442_o),
- .d(_al_u1447_o),
- .o(W4epw6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1625 (
- .a(Frziu6_lutinv),
- .b(_al_u1580_o),
- .o(_al_u1625_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u1626 (
- .a(_al_u1474_o),
- .b(_al_u1625_o),
- .c(_al_u685_o),
- .d(Vgjpw6),
- .o(_al_u1626_o));
- AL_MAP_LUT5 #(
- .EQN("~(D@(~(E*~B)*~(C*~A)))"),
- .INIT(32'h8c73af50))
- _al_u1627 (
- .a(_al_u1135_o),
- .b(_al_u1626_o),
- .c(_al_u1442_o),
- .d(_al_u1447_o),
- .e(Sqkax6),
- .o(Qbfpw6[0]));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(E*D)*~(B*~A))"),
- .INIT(32'h000b0b0b))
- _al_u1628 (
- .a(_al_u1258_o),
- .b(_al_u1442_o),
- .c(_al_u1449_o),
- .d(_al_u1451_o),
- .e(Ssjax6),
- .o(_al_u1628_o));
- AL_MAP_LUT2 #(
- .EQN("~(B@A)"),
- .INIT(4'h9))
- _al_u1629 (
- .a(_al_u1628_o),
- .b(_al_u1447_o),
- .o(C1epw6));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u163 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[19]),
- .d(Vqjbx6),
- .o(Acohu6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1630 (
- .a(_al_u1449_o),
- .b(_al_u1451_o),
- .c(R9mpw6),
- .o(_al_u1630_o));
- AL_MAP_LUT4 #(
- .EQN("~(C@(D*~(B*~A)))"),
- .INIT(16'hb40f))
- _al_u1631 (
- .a(_al_u1266_o),
- .b(_al_u1442_o),
- .c(_al_u1447_o),
- .d(_al_u1630_o),
- .o(J1epw6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1632 (
- .a(_al_u1449_o),
- .b(_al_u1451_o),
- .c(Rskax6),
- .o(_al_u1632_o));
- AL_MAP_LUT4 #(
- .EQN("~(C@(D*~(B*~A)))"),
- .INIT(16'hb40f))
- _al_u1633 (
- .a(_al_u1274_o),
- .b(_al_u1442_o),
- .c(_al_u1447_o),
- .d(_al_u1632_o),
- .o(Q1epw6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1634 (
- .a(_al_u1449_o),
- .b(_al_u1451_o),
- .c(U1kpw6),
- .o(_al_u1634_o));
- AL_MAP_LUT4 #(
- .EQN("~(C@(D*~(B*~A)))"),
- .INIT(16'hb40f))
- _al_u1635 (
- .a(_al_u1281_o),
- .b(_al_u1442_o),
- .c(_al_u1447_o),
- .d(_al_u1634_o),
- .o(X1epw6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1636 (
- .a(_al_u1451_o),
- .b(W4jax6),
- .o(_al_u1636_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(E*~B)*~(D*A))"),
- .INIT(32'h040c050f))
- _al_u1637 (
- .a(Kc6ju6),
- .b(_al_u1474_o),
- .c(_al_u1636_o),
- .d(Fkrpw6),
- .e(V6jax6),
- .o(_al_u1637_o));
- AL_MAP_LUT4 #(
- .EQN("~(D@(B*~(C*~A)))"),
- .INIT(16'h8c73))
- _al_u1638 (
- .a(_al_u1194_o),
- .b(_al_u1637_o),
- .c(_al_u1442_o),
- .d(_al_u1447_o),
- .o(S2epw6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1639 (
- .a(_al_u1449_o),
- .b(_al_u1451_o),
- .c(Ubypw6),
- .o(_al_u1639_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u164 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[20]),
- .d(Tokax6),
- .o(Eeohu6));
- AL_MAP_LUT4 #(
- .EQN("~(C@(D*~(B*~A)))"),
- .INIT(16'hb40f))
- _al_u1640 (
- .a(_al_u1289_o),
- .b(_al_u1442_o),
- .c(_al_u1447_o),
- .d(_al_u1639_o),
- .o(L2epw6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1641 (
- .a(_al_u1449_o),
- .b(_al_u1451_o),
- .c(Umkax6),
- .o(_al_u1641_o));
- AL_MAP_LUT4 #(
- .EQN("~(C@(D*~(B*~A)))"),
- .INIT(16'hb40f))
- _al_u1642 (
- .a(_al_u1297_o),
- .b(_al_u1442_o),
- .c(_al_u1447_o),
- .d(_al_u1641_o),
- .o(G3epw6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1643 (
- .a(_al_u1449_o),
- .b(_al_u1451_o),
- .c(V6jax6),
- .o(_al_u1643_o));
- AL_MAP_LUT4 #(
- .EQN("~(C@(D*~(B*~A)))"),
- .INIT(16'hb40f))
- _al_u1644 (
- .a(_al_u1306_o),
- .b(_al_u1442_o),
- .c(_al_u1447_o),
- .d(_al_u1643_o),
- .o(N3epw6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1645 (
- .a(_al_u1449_o),
- .b(_al_u1451_o),
- .c(Iekax6),
- .o(_al_u1645_o));
- AL_MAP_LUT4 #(
- .EQN("~(C@(D*~(B*~A)))"),
- .INIT(16'hb40f))
- _al_u1646 (
- .a(_al_u1314_o),
- .b(_al_u1442_o),
- .c(_al_u1447_o),
- .d(_al_u1645_o),
- .o(U3epw6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1647 (
- .a(_al_u1449_o),
- .b(_al_u1451_o),
- .c(Lgkax6),
- .o(_al_u1647_o));
- AL_MAP_LUT4 #(
- .EQN("~(C@(D*~(B*~A)))"),
- .INIT(16'hb40f))
- _al_u1648 (
- .a(_al_u1321_o),
- .b(_al_u1442_o),
- .c(_al_u1447_o),
- .d(_al_u1647_o),
- .o(B4epw6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1649 (
- .a(_al_u1449_o),
- .b(_al_u1451_o),
- .c(Oikax6),
- .o(_al_u1649_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u165 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[21]),
- .d(Kakax6),
- .o(Seohu6));
- AL_MAP_LUT4 #(
- .EQN("~(C@(D*~(B*~A)))"),
- .INIT(16'hb40f))
- _al_u1650 (
- .a(_al_u1328_o),
- .b(_al_u1442_o),
- .c(_al_u1447_o),
- .d(_al_u1649_o),
- .o(I4epw6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D)"),
- .INIT(16'h1f53))
- _al_u1651 (
- .a(Zf7ju6),
- .b(_al_u1451_o),
- .c(Rkkax6),
- .d(Sojax6),
- .o(_al_u1651_o));
- AL_MAP_LUT4 #(
- .EQN("~(C@(D*~(B*~A)))"),
- .INIT(16'hb40f))
- _al_u1652 (
- .a(_al_u1337_o),
- .b(_al_u1442_o),
- .c(_al_u1447_o),
- .d(_al_u1651_o),
- .o(P4epw6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D)"),
- .INIT(16'h15f3))
- _al_u1653 (
- .a(Zf7ju6),
- .b(_al_u1451_o),
- .c(P14qw6),
- .d(Rkkax6),
- .o(_al_u1653_o));
- AL_MAP_LUT4 #(
- .EQN("~(C@(D*~(B*~A)))"),
- .INIT(16'hb40f))
- _al_u1654 (
- .a(_al_u1343_o),
- .b(_al_u1442_o),
- .c(_al_u1447_o),
- .d(_al_u1653_o),
- .o(Qbfpw6[23]));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1655 (
- .a(_al_u1449_o),
- .b(_al_u1451_o),
- .c(Fkrpw6),
- .o(_al_u1655_o));
- AL_MAP_LUT4 #(
- .EQN("~(C@(D*~(B*~A)))"),
- .INIT(16'hb40f))
- _al_u1656 (
- .a(_al_u1414_o),
- .b(_al_u1442_o),
- .c(_al_u1447_o),
- .d(_al_u1655_o),
- .o(Z2epw6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u1657 (
- .a(_al_u1237_o),
- .b(Qxoiu6),
- .c(N4kax6),
- .d(P0kax6),
- .o(Cjiow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1658 (
- .a(_al_u1503_o),
- .b(Sojax6),
- .o(J1ziu6));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~B*~A*~(~E*D)))"),
- .INIT(32'he0e0f0e0))
- _al_u1659 (
- .a(Cjiow6),
- .b(J1ziu6),
- .c(_al_u1221_o),
- .d(_al_u386_o),
- .e(U9ypw6),
- .o(_al_u1659_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u166 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[22]),
- .d(L8kax6),
- .o(Zeohu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1660 (
- .a(Aujpw6),
- .b(Ydopw6),
- .o(_al_u1660_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1661 (
- .a(R3vpw6),
- .b(Vzupw6),
- .o(Yp8iu6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1662 (
- .a(_al_u121_o),
- .b(_al_u1660_o),
- .c(Yp8iu6),
- .o(_al_u1662_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~C*~A*~(E*B))"),
- .INIT(32'h00010005))
- _al_u1663 (
- .a(_al_u1659_o),
- .b(Hviiu6),
- .c(_al_u1662_o),
- .d(_al_u648_o),
- .e(_al_u1228_o),
- .o(_al_u1663_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1664 (
- .a(Pu1ju6_lutinv),
- .b(T1vpw6),
- .o(Y0jiu6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(~C*B))"),
- .INIT(8'h51))
- _al_u1665 (
- .a(_al_u1083_o),
- .b(Y0jiu6),
- .c(Aujpw6),
- .o(Veziu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u1666 (
- .a(_al_u1221_o),
- .b(Nbkiu6_lutinv),
- .c(Dxvpw6),
- .d(Sojax6),
- .o(_al_u1666_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~B*~(~D*~C)))"),
- .INIT(16'h888a))
- _al_u1667 (
- .a(Vviiu6),
- .b(Dxvpw6),
- .c(P0kax6),
- .d(Rwjax6),
- .o(_al_u1667_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~B*~(~D*A))"),
- .INIT(16'h0301))
- _al_u1668 (
- .a(_al_u1103_o),
- .b(_al_u1666_o),
- .c(_al_u1667_o),
- .d(Wkipw6),
- .o(_al_u1668_o));
- AL_MAP_LUT5 #(
- .EQN("(~(~E*D*C)*~(B*~A))"),
- .INIT(32'hbbbb0bbb))
- _al_u1669 (
- .a(_al_u1239_o),
- .b(W0piu6_lutinv),
- .c(Pt2ju6),
- .d(D1piu6_lutinv),
- .e(Ufopw6),
- .o(_al_u1669_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u167 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[23]),
- .d(O2kax6),
- .o(Nfohu6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*B))"),
- .INIT(8'ha2))
- _al_u1670 (
- .a(_al_u1669_o),
- .b(_al_u1103_o),
- .c(Vzupw6),
- .o(D0jiu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u1671 (
- .a(_al_u1663_o),
- .b(Veziu6),
- .c(_al_u1668_o),
- .d(D0jiu6),
- .o(Epjiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1672 (
- .a(Yljiu6),
- .b(Aujpw6),
- .o(_al_u1672_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~A*~(~D*C)))"),
- .INIT(16'h88c8))
- _al_u1673 (
- .a(_al_u1672_o),
- .b(_al_u638_o),
- .c(Pu1ju6_lutinv),
- .d(Vzupw6),
- .o(_al_u1673_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1674 (
- .a(P5vpw6),
- .b(T1vpw6),
- .o(Edapw6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1675 (
- .a(S2ziu6_lutinv),
- .b(_al_u388_o),
- .c(Edapw6_lutinv),
- .o(_al_u1675_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*C))"),
- .INIT(16'h0111))
- _al_u1676 (
- .a(_al_u1673_o),
- .b(_al_u1675_o),
- .c(_al_u410_o),
- .d(_al_u690_o),
- .o(_al_u1676_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u1677 (
- .a(_al_u1221_o),
- .b(Nbkiu6_lutinv),
- .c(Dxvpw6),
- .d(Ssjax6),
- .o(_al_u1677_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u1678 (
- .a(_al_u705_o),
- .b(Frziu6_lutinv),
- .c(M8fax6),
- .d(U9ypw6),
- .o(Ujjiu6));
- AL_MAP_LUT5 #(
- .EQN("(~B*A*~(E*D*C))"),
- .INIT(32'h02222222))
- _al_u1679 (
- .a(_al_u1676_o),
- .b(_al_u1677_o),
- .c(Ujjiu6),
- .d(_al_u704_o),
- .e(_al_u1087_o),
- .o(_al_u1679_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u168 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[24]),
- .d(Qyjax6),
- .o(Ufohu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u1680 (
- .a(_al_u1087_o),
- .b(Fnnpw6),
- .c(G0zax6),
- .d(vis_pc_o[2]),
- .o(Mmjiu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~B*~(D*~A)))"),
- .INIT(16'h0d0c))
- _al_u1681 (
- .a(Mmjiu6_lutinv),
- .b(Ae0iu6_lutinv),
- .c(Aujpw6),
- .d(P5vpw6),
- .o(_al_u1681_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*~(~C*~B)))"),
- .INIT(16'haa02))
- _al_u1682 (
- .a(_al_u1679_o),
- .b(_al_u1681_o),
- .c(_al_u1068_o),
- .d(Vzupw6),
- .o(_al_u1682_o));
- AL_MAP_LUT5 #(
- .EQN("(A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfffefee8))
- _al_u1683 (
- .a(Iekax6),
- .b(Lgkax6),
- .c(Oikax6),
- .d(Rkkax6),
- .e(Ubypw6),
- .o(_al_u1683_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+A*C*~(D)*~(E)+A*~(C)*D*~(E)+A*~(C)*~(D)*E))"),
- .INIT(32'h00020223))
- _al_u1684 (
- .a(_al_u720_o),
- .b(_al_u1683_o),
- .c(Fkrpw6),
- .d(Umkax6),
- .e(V6jax6),
- .o(_al_u1684_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1685 (
- .a(_al_u907_o),
- .b(Aujpw6),
- .o(_al_u1685_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(B*A*~(D*C)))"),
- .INIT(32'hf7770000))
- _al_u1686 (
- .a(Epjiu6),
- .b(_al_u1682_o),
- .c(_al_u1684_o),
- .d(_al_u1685_o),
- .e(HREADY),
- .o(n1360));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1687 (
- .a(Ufopw6),
- .b(Xxupw6),
- .o(Jf6ju6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1688 (
- .a(_al_u121_o),
- .b(Pthiu6),
- .c(Jf6ju6),
- .d(P5vpw6),
- .o(_al_u1688_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B*~(D*~C)))"),
- .INIT(16'h2a22))
- _al_u1689 (
- .a(_al_u1688_o),
- .b(Cc2ju6_lutinv),
- .c(_al_u1095_o),
- .d(Aujpw6),
- .o(_al_u1689_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u169 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[25]),
- .d(Sujax6),
- .o(Bgohu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1690 (
- .a(Aujpw6),
- .b(Ufopw6),
- .o(Difiu6));
- AL_MAP_LUT5 #(
- .EQN("(E*~D*(~B*~(A)*~(C)+~B*A*~(C)+~(~B)*A*C+~B*A*C))"),
- .INIT(32'h00a30000))
- _al_u1691 (
- .a(Difiu6),
- .b(Vzupw6),
- .c(Xxupw6),
- .d(Ydopw6),
- .e(Yvjpw6),
- .o(_al_u1691_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*~(~C*B)))"),
- .INIT(16'h0455))
- _al_u1692 (
- .a(Kc6ju6),
- .b(_al_u1689_o),
- .c(_al_u1691_o),
- .d(Vgjpw6),
- .o(Qv4ju6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1693 (
- .a(_al_u1451_o),
- .b(Jgxpw6),
- .o(_al_u1693_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*~B)*~(E*~A))"),
- .INIT(32'h080a0c0f))
- _al_u1694 (
- .a(Qv4ju6_lutinv),
- .b(_al_u1474_o),
- .c(_al_u1693_o),
- .d(Fkrpw6),
- .e(U1kpw6),
- .o(_al_u1694_o));
- AL_MAP_LUT4 #(
- .EQN("~(D@(A*~(C*~B)))"),
- .INIT(16'h8a75))
- _al_u1695 (
- .a(_al_u1694_o),
- .b(_al_u1180_o),
- .c(_al_u1442_o),
- .d(_al_u1447_o),
- .o(Qbfpw6[5]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1696 (
- .a(_al_u1451_o),
- .b(Irmpw6),
- .o(_al_u1696_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(E*~B)*~(D*~A))"),
- .INIT(32'h080c0a0f))
- _al_u1697 (
- .a(Qv4ju6_lutinv),
- .b(_al_u1474_o),
- .c(_al_u1696_o),
- .d(R9mpw6),
- .e(U1kpw6),
- .o(_al_u1697_o));
- AL_MAP_LUT4 #(
- .EQN("~(D@(A*~(C*~B)))"),
- .INIT(16'h8a75))
- _al_u1698 (
- .a(_al_u1697_o),
- .b(_al_u1168_o),
- .c(_al_u1442_o),
- .d(_al_u1447_o),
- .o(Qbfpw6[3]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1699 (
- .a(_al_u1474_o),
- .b(Ubypw6),
- .o(_al_u1699_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u17 (
- .a(Iqzhu6_lutinv),
- .b(C2ypw6),
- .o(J44iu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u170 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[26]),
- .d(Sqjax6),
- .o(Igohu6));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(E*C)*~(D*~A))"),
- .INIT(32'h02032233))
- _al_u1700 (
- .a(Qv4ju6_lutinv),
- .b(_al_u1699_o),
- .c(_al_u1451_o),
- .d(Rskax6),
- .e(Wkipw6),
- .o(_al_u1700_o));
- AL_MAP_LUT4 #(
- .EQN("~(D@(A*~(C*~B)))"),
- .INIT(16'h8a75))
- _al_u1701 (
- .a(_al_u1700_o),
- .b(_al_u1174_o),
- .c(_al_u1442_o),
- .d(_al_u1447_o),
- .o(Qbfpw6[4]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u1702 (
- .a(_al_u1200_o),
- .b(_al_u1442_o),
- .c(_al_u1451_o),
- .d(Wfspw6),
- .o(_al_u1702_o));
- AL_MAP_LUT4 #(
- .EQN("~(C@(A*~(D*~B)))"),
- .INIT(16'h87a5))
- _al_u1703 (
- .a(_al_u1702_o),
- .b(_al_u1626_o),
- .c(_al_u1447_o),
- .d(R9mpw6),
- .o(Qbfpw6[1]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1704 (
- .a(_al_u1626_o),
- .b(Rskax6),
- .o(_al_u1704_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(E*~C)*~(D*~A))"),
- .INIT(32'h20302233))
- _al_u1705 (
- .a(_al_u1161_o),
- .b(_al_u1704_o),
- .c(Qv4ju6_lutinv),
- .d(_al_u1442_o),
- .e(Sqkax6),
- .o(_al_u1705_o));
- AL_MAP_LUT4 #(
- .EQN("~(B@(A*~(D*C)))"),
- .INIT(16'h3999))
- _al_u1706 (
- .a(_al_u1705_o),
- .b(_al_u1447_o),
- .c(_al_u1451_o),
- .d(S7mpw6),
- .o(Qbfpw6[2]));
- AL_MAP_LUT5 #(
- .EQN("(E*B*A*~(~D*~C))"),
- .INIT(32'h88800000))
- _al_u1707 (
- .a(Tezhu6),
- .b(Oulpw6),
- .c(Qa1qw6),
- .d(Qj1qw6),
- .e(Vplpw6),
- .o(Sbyhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1708 (
- .a(M7zhu6),
- .b(_al_u1419_o),
- .o(Lbyhu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'heddffff7))
- _al_u1709 (
- .a(Bclpw6),
- .b(Jflpw6),
- .c(Kalpw6),
- .d(Sdlpw6),
- .e(Yklpw6),
- .o(Reyhu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u171 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[27]),
- .d(Smjax6),
- .o(Pgohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hfbdf))
- _al_u1710 (
- .a(Jflpw6),
- .b(Kalpw6),
- .c(Sdlpw6),
- .d(Yklpw6),
- .o(_al_u1710_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(A)*~(D)+~(~C*~B)*A*~(D)+~(~(~C*~B))*A*D+~(~C*~B)*A*D)"),
- .INIT(16'haafc))
- _al_u1711 (
- .a(Reyhu6),
- .b(_al_u1710_o),
- .c(Bclpw6),
- .d(Rilpw6),
- .o(Zbyhu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'he40f))
- _al_u1712 (
- .a(Bclpw6),
- .b(Jflpw6),
- .c(Kalpw6),
- .d(Sdlpw6),
- .o(Ncyhu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(C*~B*~A*~(E*D))"),
- .INIT(32'h00101010))
- _al_u1713 (
- .a(Sbyhu6),
- .b(Lbyhu6),
- .c(Zbyhu6_lutinv),
- .d(Ncyhu6_lutinv),
- .e(Yklpw6),
- .o(_al_u1713_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u1714 (
- .a(Pmlpw6),
- .b(Rilpw6),
- .c(Sdlpw6),
- .d(Yklpw6),
- .o(_al_u1714_o));
- AL_MAP_LUT4 #(
- .EQN("~(~(D*~B)*~(C*~A))"),
- .INIT(16'h7350))
- _al_u1715 (
- .a(_al_u1713_o),
- .b(_al_u1714_o),
- .c(U5yhu6),
- .d(Ahlpw6),
- .o(Zehpw6[6]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u1716 (
- .a(_al_u1440_o),
- .b(_al_u398_o),
- .c(_al_u410_o),
- .d(Ufopw6),
- .o(_al_u1716_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*~(~C*~B)))"),
- .INIT(16'haa02))
- _al_u1717 (
- .a(_al_u1716_o),
- .b(_al_u142_o),
- .c(_al_u688_o),
- .d(R3vpw6),
- .o(_al_u1717_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1718 (
- .a(P5vpw6),
- .b(Vygax6),
- .o(_al_u1718_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(C*B*A))"),
- .INIT(16'h007f))
- _al_u1719 (
- .a(_al_u142_o),
- .b(Ae0iu6_lutinv),
- .c(Yljiu6),
- .d(_al_u1718_o),
- .o(Xiaju6));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u172 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[28]),
- .d(Sijax6),
- .o(Wgohu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~(~B*~A))"),
- .INIT(16'he000))
- _al_u1720 (
- .a(_al_u148_o),
- .b(Edapw6_lutinv),
- .c(Xxupw6),
- .d(Yvjpw6),
- .o(_al_u1720_o));
- AL_MAP_LUT4 #(
- .EQN("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
- .INIT(16'hca00))
- _al_u1721 (
- .a(Yi7ju6_lutinv),
- .b(Hirpw6),
- .c(T1vpw6),
- .d(Vzupw6),
- .o(_al_u1721_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u1722 (
- .a(_al_u1717_o),
- .b(Xiaju6),
- .c(_al_u1720_o),
- .d(_al_u1721_o),
- .o(Mpniu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u1723 (
- .a(_al_u153_o),
- .b(_al_u142_o),
- .c(Hirpw6),
- .d(Ydopw6),
- .o(_al_u1723_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1724 (
- .a(_al_u907_o),
- .b(D31ju6),
- .o(Glaiu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u1725 (
- .a(_al_u1610_o),
- .b(Xxupw6),
- .c(Yvjpw6),
- .o(_al_u1725_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*C))"),
- .INIT(16'h0111))
- _al_u1726 (
- .a(_al_u1723_o),
- .b(Glaiu6),
- .c(_al_u1725_o),
- .d(Cc2ju6_lutinv),
- .o(Yoniu6));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(D*C)*~(E*~A))"),
- .INIT(32'h02220333))
- _al_u1727 (
- .a(Yoniu6),
- .b(Fq8iu6),
- .c(_al_u194_o),
- .d(_al_u1660_o),
- .e(Iixpw6),
- .o(_al_u1727_o));
- AL_MAP_LUT4 #(
- .EQN("~((C*B)*~(A)*~(D)+(C*B)*A*~(D)+~((C*B))*A*D+(C*B)*A*D)"),
- .INIT(16'h553f))
- _al_u1728 (
- .a(_al_u154_o),
- .b(Aujpw6),
- .c(R3vpw6),
- .d(Yvjpw6),
- .o(_al_u1728_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*~(B*~(~D*C))))"),
- .INIT(32'h44045555))
- _al_u1729 (
- .a(Iugiu6),
- .b(_al_u1728_o),
- .c(_al_u1095_o),
- .d(Vzupw6),
- .e(Ydopw6),
- .o(_al_u1729_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u173 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[29]),
- .d(Sgjax6),
- .o(Dhohu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1730 (
- .a(R3vpw6),
- .b(T1vpw6),
- .o(Yecpw6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~(~C*~B)))"),
- .INIT(16'h02aa))
- _al_u1731 (
- .a(_al_u1729_o),
- .b(Yecpw6_lutinv),
- .c(_al_u410_o),
- .d(_al_u1582_o),
- .o(_al_u1731_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(D*~C)*~(E*~A))"),
- .INIT(32'h8088c0cc))
- _al_u1732 (
- .a(Mpniu6),
- .b(_al_u1727_o),
- .c(_al_u1731_o),
- .d(Lgkax6),
- .e(Wxjpw6),
- .o(_al_u1732_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*(B*C*~(D)+~(B)*~(C)*D+B*C*D))"),
- .INIT(16'h4140))
- _al_u1733 (
- .a(_al_u1154_o),
- .b(Wofiu6_lutinv),
- .c(Rskax6),
- .d(Ubypw6),
- .o(_al_u1733_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(E*D*C*~A))"),
- .INIT(32'h23333333))
- _al_u1734 (
- .a(_al_u1732_o),
- .b(_al_u1733_o),
- .c(_al_u158_o),
- .d(_al_u1155_o),
- .e(T1vpw6),
- .o(Cz8iu6));
- AL_MAP_LUT2 #(
- .EQN("~(B*~A)"),
- .INIT(4'hb))
- _al_u1735 (
- .a(Cz8iu6),
- .b(HREADY),
- .o(n602));
- AL_MAP_LUT2 #(
- .EQN("~(~B*~A)"),
- .INIT(4'he))
- _al_u1736 (
- .a(HREADY),
- .b(SLEEPHOLDACKn),
- .o(N8vhu6));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u1737 (
- .a(K5eiu6),
- .b(R9yax6),
- .c(W5ypw6),
- .o(F0eow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1738 (
- .a(F0eow6),
- .b(C1wpw6),
- .o(O59iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1739 (
- .a(HWDATA[0]),
- .b(O59iu6_lutinv),
- .c(C3wpw6),
- .d(Ztupw6),
- .o(Tbvhu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u174 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[31]),
- .d(Sejax6),
- .o(Khohu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1740 (
- .a(HWDATA[8]),
- .b(O59iu6_lutinv),
- .c(C30bx6),
- .d(Ztupw6),
- .o(Wouhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1741 (
- .a(HWDATA[3]),
- .b(O59iu6_lutinv),
- .c(Owhbx6),
- .d(Ztupw6),
- .o(Zmuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1742 (
- .a(HWDATA[4]),
- .b(O59iu6_lutinv),
- .c(Ikhbx6),
- .d(Ztupw6),
- .o(Gnuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1743 (
- .a(HWDATA[5]),
- .b(O59iu6_lutinv),
- .c(Czzax6),
- .d(Ztupw6),
- .o(Nnuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1744 (
- .a(HWDATA[6]),
- .b(O59iu6_lutinv),
- .c(Nt9bx6),
- .d(Ztupw6),
- .o(Bouhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1745 (
- .a(HWDATA[7]),
- .b(O59iu6_lutinv),
- .c(C10bx6),
- .d(Ztupw6),
- .o(Pouhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1746 (
- .a(HWDATA[9]),
- .b(O59iu6_lutinv),
- .c(Tkjbx6),
- .d(Ztupw6),
- .o(Dpuhu6));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*C*~B))"),
- .INIT(16'haa8a))
- _al_u1747 (
- .a(Yavow6),
- .b(Mmjiu6_lutinv),
- .c(Glaiu6),
- .d(Ae0iu6_lutinv),
- .o(_al_u1747_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1748 (
- .a(_al_u153_o),
- .b(Yljiu6),
- .o(Ls1ju6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u1749 (
- .a(_al_u158_o),
- .b(Aujpw6),
- .c(R3vpw6),
- .d(Ydopw6),
- .o(_al_u1749_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
- .INIT(16'h7340))
- _al_u175 (
- .a(Wz4iu6),
- .b(n4330),
- .c(HRDATA[16]),
- .d(Tcjax6),
- .o(Rhohu6));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(~D*C*A))"),
- .INIT(16'h3313))
- _al_u1750 (
- .a(Ls1ju6),
- .b(_al_u1749_o),
- .c(Md0iu6_lutinv),
- .d(Aujpw6),
- .o(Do1ju6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1751 (
- .a(_al_u153_o),
- .b(_al_u388_o),
- .c(Edapw6_lutinv),
- .o(I82ju6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1752 (
- .a(_al_u1747_o),
- .b(Do1ju6),
- .c(I82ju6),
- .d(_al_u121_o),
- .o(_al_u1752_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(D*C*~(~E*~A)))"),
- .INIT(32'h03331333))
- _al_u1753 (
- .a(_al_u1684_o),
- .b(_al_u648_o),
- .c(_al_u638_o),
- .d(_al_u690_o),
- .e(Hirpw6),
- .o(Rcziu6));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(~C*A))"),
- .INIT(8'h31))
- _al_u1754 (
- .a(Qe8iu6_lutinv),
- .b(_al_u145_o),
- .c(T1vpw6),
- .o(_al_u1754_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u1755 (
- .a(Y0jiu6),
- .b(Daiax6),
- .c(Ufopw6),
- .o(_al_u1755_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u1756 (
- .a(_al_u190_o),
- .b(D6kiu6_lutinv),
- .c(Daiax6),
- .o(_al_u1756_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u1757 (
- .a(Mfjiu6),
- .b(Aujpw6),
- .c(Vygax6),
- .o(_al_u1757_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*(~D*~(A)*~(E)+~D*A*~(E)+~(~D)*A*E+~D*A*E))"),
- .INIT(32'h02020003))
- _al_u1758 (
- .a(_al_u1754_o),
- .b(_al_u1755_o),
- .c(_al_u1756_o),
- .d(_al_u1757_o),
- .e(Hirpw6),
- .o(_al_u1758_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1759 (
- .a(Qe8iu6_lutinv),
- .b(_al_u1463_o),
- .o(_al_u1759_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*~A)"),
- .INIT(16'h0010))
- _al_u176 (
- .a(Dzvpw6),
- .b(M6kax6),
- .c(Shopw6),
- .d(Wxjpw6),
- .o(N9now6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u1760 (
- .a(_al_u1752_o),
- .b(Rcziu6),
- .c(_al_u1758_o),
- .d(_al_u1759_o),
- .o(L18iu6));
- AL_MAP_LUT2 #(
- .EQN("~(B*~A)"),
- .INIT(4'hb))
- _al_u1761 (
- .a(L18iu6),
- .b(HREADY),
- .o(n1481));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1762 (
- .a(Ufopw6),
- .b(Vzupw6),
- .o(Ldiow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~A*~(D*B)))"),
- .INIT(16'he0a0))
- _al_u1763 (
- .a(Us2ju6),
- .b(Ldiow6_lutinv),
- .c(Yljiu6),
- .d(Hirpw6),
- .o(_al_u1763_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*~B))"),
- .INIT(16'h4555))
- _al_u1764 (
- .a(_al_u1763_o),
- .b(Mmjiu6_lutinv),
- .c(_al_u145_o),
- .d(_al_u394_o),
- .o(T1jiu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*A*~(C*~B))"),
- .INIT(16'h008a))
- _al_u1765 (
- .a(Y0jiu6),
- .b(_al_u1095_o),
- .c(Aujpw6),
- .d(Ufopw6),
- .o(_al_u1765_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~C*~(B*A)))"),
- .INIT(16'h00f8))
- _al_u1766 (
- .a(_al_u145_o),
- .b(Ae0iu6_lutinv),
- .c(_al_u648_o),
- .d(Hirpw6),
- .o(_al_u1766_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u1767 (
- .a(_al_u386_o),
- .b(Dxvpw6),
- .c(Sojax6),
- .o(_al_u1767_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(~D*C))"),
- .INIT(16'h1101))
- _al_u1768 (
- .a(_al_u1765_o),
- .b(_al_u1766_o),
- .c(_al_u1767_o),
- .d(_al_u1087_o),
- .o(_al_u1768_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u1769 (
- .a(_al_u398_o),
- .b(_al_u688_o),
- .c(P5vpw6),
- .d(R3vpw6),
- .o(_al_u1769_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*A)"),
- .INIT(16'h0200))
- _al_u177 (
- .a(Dzvpw6),
- .b(M6kax6),
- .c(Shopw6),
- .d(Wxjpw6),
- .o(C7now6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1770 (
- .a(T1jiu6),
- .b(_al_u1768_o),
- .c(_al_u1769_o),
- .d(Hgrpw6),
- .o(_al_u1770_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~A*~(~C*B)))"),
- .INIT(16'hae00))
- _al_u1771 (
- .a(Hgrpw6),
- .b(Sojax6),
- .c(U9ypw6),
- .d(Ydopw6),
- .o(_al_u1771_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~B*~(~A*~(~E*C)))"),
- .INIT(32'h22003200))
- _al_u1772 (
- .a(_al_u1771_o),
- .b(_al_u1087_o),
- .c(_al_u1592_o),
- .d(Dxvpw6),
- .e(Sojax6),
- .o(_al_u1772_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u1773 (
- .a(_al_u1770_o),
- .b(M1jiu6),
- .c(D0jiu6),
- .d(_al_u1772_o),
- .o(_al_u1773_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1774 (
- .a(Jckax6),
- .b(N4kax6),
- .o(_al_u1774_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1775 (
- .a(_al_u1774_o),
- .b(W4jax6),
- .o(Cwiiu6));
- AL_MAP_LUT4 #(
- .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(16'ha088))
- _al_u1776 (
- .a(Hviiu6),
- .b(Cwiiu6),
- .c(Gr2qw6),
- .d(Sojax6),
- .o(_al_u1776_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*((D*B)*~(A)*~(E)+(D*B)*A*~(E)+~((D*B))*A*E+(D*B)*A*E))"),
- .INIT(32'h0a0a0c00))
- _al_u1777 (
- .a(_al_u1776_o),
- .b(Vviiu6),
- .c(P0kax6),
- .d(Rwjax6),
- .e(Ssjax6),
- .o(_al_u1777_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1778 (
- .a(_al_u1684_o),
- .b(_al_u155_o),
- .c(_al_u690_o),
- .o(_al_u1778_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~C*~B*A))"),
- .INIT(16'hfd00))
- _al_u1779 (
- .a(_al_u1773_o),
- .b(_al_u1777_o),
- .c(_al_u1778_o),
- .d(HREADY),
- .o(D8iiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u178 (
- .a(N9now6_lutinv),
- .b(C7now6_lutinv),
- .c(vis_r6_o[0]),
- .d(vis_r1_o[0]),
- .o(_al_u178_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1780 (
- .a(W0piu6_lutinv),
- .b(Vygax6),
- .o(Htyiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1781 (
- .a(Htyiu6),
- .b(_al_u1487_o),
- .o(_al_u1781_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1782 (
- .a(Ls1ju6),
- .b(Pu1ju6_lutinv),
- .c(R3vpw6),
- .d(T1vpw6),
- .o(_al_u1782_o));
- AL_MAP_LUT5 #(
- .EQN("(~(D*~B)*~(~(E*~C)*A))"),
- .INIT(32'h4c5f4455))
- _al_u1783 (
- .a(_al_u1781_o),
- .b(_al_u1782_o),
- .c(_al_u1227_o),
- .d(Pthiu6),
- .e(Skjax6),
- .o(_al_u1783_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u1784 (
- .a(Cwiiu6),
- .b(P0kax6),
- .c(Rwjax6),
- .o(_al_u1784_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1785 (
- .a(_al_u1784_o),
- .b(Dxvpw6),
- .c(P14qw6),
- .d(Skjax6),
- .o(_al_u1785_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u1786 (
- .a(Nu9ow6),
- .b(Llaow6_lutinv),
- .c(Vzupw6),
- .o(Ot7ow6));
- AL_MAP_LUT5 #(
- .EQN("(~C*A*~(E*D*~B))"),
- .INIT(32'h080a0a0a))
- _al_u1787 (
- .a(_al_u1783_o),
- .b(_al_u1785_o),
- .c(Ot7ow6),
- .d(Vviiu6),
- .e(_al_u153_o),
- .o(_al_u1787_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u1788 (
- .a(_al_u1221_o),
- .b(_al_u718_o),
- .c(Ae0iu6_lutinv),
- .d(U9ypw6),
- .o(_al_u1788_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u1789 (
- .a(_al_u1788_o),
- .b(Dxvpw6),
- .c(Sojax6),
- .o(_al_u1789_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u179 (
- .a(Dzvpw6),
- .b(M6kax6),
- .c(Shopw6),
- .d(Wxjpw6),
- .o(Panow6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(~E*D))"),
- .INIT(32'h80800080))
- _al_u1790 (
- .a(W0piu6_lutinv),
- .b(_al_u718_o),
- .c(Ia8iu6_lutinv),
- .d(Qxoiu6),
- .e(P0kax6),
- .o(_al_u1790_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*C))"),
- .INIT(16'h0111))
- _al_u1791 (
- .a(_al_u1789_o),
- .b(_al_u1790_o),
- .c(_al_u394_o),
- .d(T1vpw6),
- .o(_al_u1791_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1792 (
- .a(P0kax6),
- .b(Sojax6),
- .o(X8ziu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1793 (
- .a(A95iu6_lutinv),
- .b(_al_u1237_o),
- .c(X8ziu6_lutinv),
- .d(P14qw6),
- .o(_al_u1793_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u1794 (
- .a(_al_u1793_o),
- .b(W0piu6_lutinv),
- .c(_al_u153_o),
- .o(_al_u1794_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1795 (
- .a(_al_u158_o),
- .b(_al_u156_o),
- .o(_al_u1795_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~(~C*~B)*~(E)*~(D)+~(~C*~B)*E*~(D)+~(~(~C*~B))*E*D+~(~C*~B)*E*D))"),
- .INIT(32'h0002aa02))
- _al_u1796 (
- .a(_al_u1795_o),
- .b(Sq3ju6),
- .c(Frziu6_lutinv),
- .d(R3vpw6),
- .e(Yvjpw6),
- .o(_al_u1796_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1797 (
- .a(_al_u1685_o),
- .b(_al_u1155_o),
- .o(_al_u1797_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u1798 (
- .a(_al_u1059_o),
- .b(_al_u1085_o),
- .c(Daiax6),
- .d(T1vpw6),
- .o(_al_u1798_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*~B*~A)"),
- .INIT(32'h00000001))
- _al_u1799 (
- .a(_al_u1794_o),
- .b(_al_u1796_o),
- .c(_al_u1797_o),
- .d(_al_u1798_o),
- .e(Ujjiu6),
- .o(_al_u1799_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u18 (
- .a(Iqzhu6_lutinv),
- .b(Xx6bx6),
- .o(Q44iu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*~A)"),
- .INIT(16'h0100))
- _al_u180 (
- .a(Dzvpw6),
- .b(M6kax6),
- .c(Shopw6),
- .d(Wxjpw6),
- .o(V6now6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1800 (
- .a(_al_u1094_o),
- .b(_al_u158_o),
- .o(_al_u1800_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(D*C)*~(~E*A))"),
- .INIT(32'h03330111))
- _al_u1801 (
- .a(_al_u1800_o),
- .b(_al_u1756_o),
- .c(Pu1ju6_lutinv),
- .d(_al_u1099_o),
- .e(Aujpw6),
- .o(Ez1ju6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1802 (
- .a(N4kax6),
- .b(W4jax6),
- .o(Dcziu6));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u1803 (
- .a(Frziu6_lutinv),
- .b(Ya1ju6_lutinv),
- .c(Dcziu6),
- .d(Jckax6),
- .e(Jgxpw6),
- .o(T4aow6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1804 (
- .a(T4aow6),
- .b(Kxziu6_lutinv),
- .c(_al_u154_o),
- .o(_al_u1804_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u1805 (
- .a(_al_u1087_o),
- .b(_al_u705_o),
- .c(Frziu6_lutinv),
- .o(_al_u1805_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~A*~(C*B))"),
- .INIT(16'h1500))
- _al_u1806 (
- .a(_al_u1805_o),
- .b(D6kiu6_lutinv),
- .c(Sy2ju6),
- .d(HREADY),
- .o(Oeziu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u1807 (
- .a(Hs8ow6),
- .b(_al_u398_o),
- .c(_al_u688_o),
- .d(R3vpw6),
- .o(_al_u1807_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*C*A*~(~E*B))"),
- .INIT(32'h00a00020))
- _al_u1808 (
- .a(Ez1ju6),
- .b(_al_u1804_o),
- .c(Oeziu6),
- .d(_al_u1807_o),
- .e(Ydopw6),
- .o(_al_u1808_o));
- AL_MAP_LUT5 #(
- .EQN("(D*C*A*~(~E*~B))"),
- .INIT(32'ha0008000))
- _al_u1809 (
- .a(_al_u1787_o),
- .b(_al_u1791_o),
- .c(_al_u1799_o),
- .d(_al_u1808_o),
- .e(Vygax6),
- .o(_al_u1809_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u181 (
- .a(Panow6_lutinv),
- .b(V6now6_lutinv),
- .c(vis_r2_o[0]),
- .d(vis_r3_o[0]),
- .o(_al_u181_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~(~D*C)*~(~E*B)))"),
- .INIT(32'h00a088a8))
- _al_u1810 (
- .a(Ls1ju6),
- .b(_al_u142_o),
- .c(_al_u638_o),
- .d(Aujpw6),
- .e(Vzupw6),
- .o(_al_u1810_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u1811 (
- .a(_al_u1810_o),
- .b(_al_u158_o),
- .c(_al_u145_o),
- .d(D1piu6_lutinv),
- .o(_al_u1811_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1812 (
- .a(Qe8iu6_lutinv),
- .b(Hirpw6),
- .o(_al_u1812_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~E*D*C*A))"),
- .INIT(32'hcccc4ccc))
- _al_u1813 (
- .a(Eoyiu6_lutinv),
- .b(_al_u1811_o),
- .c(_al_u1812_o),
- .d(_al_u148_o),
- .e(Ufopw6),
- .o(_al_u1813_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1814 (
- .a(_al_u153_o),
- .b(_al_u1087_o),
- .o(_al_u1814_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1815 (
- .a(_al_u1814_o),
- .b(Jiiiu6),
- .o(_al_u1815_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u1816 (
- .a(_al_u1815_o),
- .b(_al_u1223_o),
- .c(P14qw6),
- .o(_al_u1816_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u1817 (
- .a(Rwjax6),
- .b(Ssjax6),
- .o(_al_u1817_o));
- AL_MAP_LUT4 #(
- .EQN("(D*A*~(C*~B))"),
- .INIT(16'h8a00))
- _al_u1818 (
- .a(_al_u1816_o),
- .b(_al_u1817_o),
- .c(Skjax6),
- .d(Sojax6),
- .o(_al_u1818_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u1819 (
- .a(_al_u1815_o),
- .b(_al_u1237_o),
- .c(P14qw6),
- .o(Yo1ju6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u182 (
- .a(Dzvpw6),
- .b(M6kax6),
- .c(Shopw6),
- .d(Wxjpw6),
- .o(U9now6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u1820 (
- .a(_al_u1230_o),
- .b(P0kax6),
- .c(Rwjax6),
- .o(_al_u1820_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'hbf50bf70))
- _al_u1821 (
- .a(N4kax6),
- .b(P0kax6),
- .c(Rwjax6),
- .d(Ssjax6),
- .e(W4jax6),
- .o(_al_u1821_o));
- AL_MAP_LUT4 #(
- .EQN("(D*A*~(C*~B))"),
- .INIT(16'h8a00))
- _al_u1822 (
- .a(Yo1ju6),
- .b(_al_u1820_o),
- .c(_al_u1821_o),
- .d(Dxvpw6),
- .o(_al_u1822_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u1823 (
- .a(Irmpw6),
- .b(S7mpw6),
- .c(Wfspw6),
- .d(Wkipw6),
- .o(Wh0ju6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u1824 (
- .a(_al_u1781_o),
- .b(F6ziu6),
- .c(Wh0ju6),
- .d(P0kax6),
- .o(_al_u1824_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~A*~(D*B))"),
- .INIT(16'h0105))
- _al_u1825 (
- .a(Jckax6),
- .b(Jgxpw6),
- .c(N4kax6),
- .d(W4jax6),
- .o(_al_u1825_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*C))"),
- .INIT(16'h0111))
- _al_u1826 (
- .a(_al_u1818_o),
- .b(_al_u1822_o),
- .c(_al_u1824_o),
- .d(_al_u1825_o),
- .o(_al_u1826_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*~B))"),
- .INIT(16'h80a0))
- _al_u1827 (
- .a(_al_u1809_o),
- .b(_al_u1813_o),
- .c(_al_u1826_o),
- .d(_al_u1684_o),
- .o(_al_u1827_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(~C*~B))"),
- .INIT(8'h54))
- _al_u1828 (
- .a(_al_u1827_o),
- .b(HREADY),
- .c(T1vpw6),
- .o(Crohu6));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~A*~(~D*C)))"),
- .INIT(16'h88c8))
- _al_u1829 (
- .a(_al_u718_o),
- .b(Ia8iu6_lutinv),
- .c(J9kiu6_lutinv),
- .d(Sojax6),
- .o(Habiu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u183 (
- .a(Dzvpw6),
- .b(M6kax6),
- .c(Shopw6),
- .d(Wxjpw6),
- .o(E8now6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1830 (
- .a(Habiu6),
- .b(Tc8iu6),
- .c(T5mpw6),
- .d(Wfspw6),
- .o(_al_u1830_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1831 (
- .a(_al_u1504_o),
- .b(U9ypw6),
- .o(Cbbiu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(D*B))"),
- .INIT(16'h020a))
- _al_u1832 (
- .a(_al_u1830_o),
- .b(Cbbiu6_lutinv),
- .c(_al_u1493_o),
- .d(W4jax6),
- .o(_al_u1832_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~B*(D@C)))"),
- .INIT(16'ha88a))
- _al_u1833 (
- .a(_al_u1832_o),
- .b(_al_u1500_o),
- .c(R9mpw6),
- .d(Sqkax6),
- .o(_al_u1833_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*~C)*~(E*~B))"),
- .INIT(32'h8088a0aa))
- _al_u1834 (
- .a(_al_u1833_o),
- .b(Yb8iu6),
- .c(Hd8iu6_lutinv),
- .d(N4kax6),
- .e(S7mpw6),
- .o(_al_u1834_o));
- AL_MAP_LUT3 #(
- .EQN("(B*(C@A))"),
- .INIT(8'h48))
- _al_u1835 (
- .a(_al_u1512_o),
- .b(L88iu6_lutinv),
- .c(N4kax6),
- .o(W7biu6));
- AL_MAP_LUT3 #(
- .EQN("(~(A)*~(B)*~(C)+A*~(B)*~(C)+~(A)*B*~(C)+~(A)*~(B)*C)"),
- .INIT(8'h17))
- _al_u1836 (
- .a(_al_u1511_o),
- .b(Jckax6),
- .c(Jgxpw6),
- .o(_al_u1836_o));
- AL_MAP_LUT5 #(
- .EQN("(A@(~(B)*~(C)*~(D)*~(E)+B*~(C)*~(D)*~(E)+~(B)*C*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*~(C)*~(D)*E+B*C*D*E))"),
- .INIT(32'h6aa9a995))
- _al_u1837 (
- .a(_al_u1836_o),
- .b(Irmpw6),
- .c(S7mpw6),
- .d(Wfspw6),
- .e(Wkipw6),
- .o(Ewjiu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(~((C@B@A))*~(D)*~(E)+(C@B@A)*~(D)*~(E)+~((C@B@A))*D*~(E)+~((C@B@A))*~(D)*E)"),
- .INIT(32'h006969ff))
- _al_u1838 (
- .a(_al_u1511_o),
- .b(Jckax6),
- .c(Jgxpw6),
- .d(N4kax6),
- .e(W4jax6),
- .o(_al_u1838_o));
- AL_MAP_LUT5 #(
- .EQN("~(A*~(B*~(E@D@C)))"),
- .INIT(32'h5dd5d55d))
- _al_u1839 (
- .a(_al_u1834_o),
- .b(E88iu6_lutinv),
- .c(W7biu6),
- .d(Ewjiu6_lutinv),
- .e(_al_u1838_o),
- .o(S5biu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u184 (
- .a(U9now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r4_o[0]),
- .d(vis_r0_o[0]),
- .o(_al_u184_o));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1840 (
- .a(HWDATA[10]),
- .b(O59iu6_lutinv),
- .c(C50bx6),
- .d(Ztupw6),
- .o(Kpuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1841 (
- .a(HWDATA[2]),
- .b(O59iu6_lutinv),
- .c(L1bbx6),
- .d(Ztupw6),
- .o(Smuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1842 (
- .a(HWDATA[11]),
- .b(O59iu6_lutinv),
- .c(D70bx6),
- .d(Ztupw6),
- .o(Rpuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1843 (
- .a(HWDATA[12]),
- .b(O59iu6_lutinv),
- .c(E90bx6),
- .d(Ztupw6),
- .o(Ypuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1844 (
- .a(HWDATA[13]),
- .b(O59iu6_lutinv),
- .c(Fb0bx6),
- .d(Ztupw6),
- .o(Fquhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1845 (
- .a(HWDATA[14]),
- .b(O59iu6_lutinv),
- .c(Gd0bx6),
- .d(Ztupw6),
- .o(Tquhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1846 (
- .a(HWDATA[17]),
- .b(O59iu6_lutinv),
- .c(Jj0bx6),
- .d(Ztupw6),
- .o(Csuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1847 (
- .a(HWDATA[1]),
- .b(O59iu6_lutinv),
- .c(Cxzax6),
- .d(Ztupw6),
- .o(Lmuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1848 (
- .a(HWDATA[18]),
- .b(O59iu6_lutinv),
- .c(Kl0bx6),
- .d(Ztupw6),
- .o(Qsuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1849 (
- .a(HWDATA[19]),
- .b(O59iu6_lutinv),
- .c(Ln0bx6),
- .d(Ztupw6),
- .o(Etuhu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*A)"),
- .INIT(16'h0020))
- _al_u185 (
- .a(Dzvpw6),
- .b(M6kax6),
- .c(Shopw6),
- .d(Wxjpw6),
- .o(X7now6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1850 (
- .a(HWDATA[20]),
- .b(O59iu6_lutinv),
- .c(Mp0bx6),
- .d(Ztupw6),
- .o(Stuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1851 (
- .a(HWDATA[21]),
- .b(O59iu6_lutinv),
- .c(Nr0bx6),
- .d(Ztupw6),
- .o(Guuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1852 (
- .a(HWDATA[22]),
- .b(O59iu6_lutinv),
- .c(B3gbx6),
- .d(Ztupw6),
- .o(Bvuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1853 (
- .a(HWDATA[23]),
- .b(O59iu6_lutinv),
- .c(Ot0bx6),
- .d(Ztupw6),
- .o(Wvuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1854 (
- .a(HWDATA[24]),
- .b(O59iu6_lutinv),
- .c(Oxkpw6),
- .d(Ztupw6),
- .o(Dwuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1855 (
- .a(HWDATA[25]),
- .b(O59iu6_lutinv),
- .c(Pv0bx6),
- .d(Ztupw6),
- .o(Kwuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1856 (
- .a(HWDATA[26]),
- .b(O59iu6_lutinv),
- .c(X5upw6),
- .d(Ztupw6),
- .o(Rwuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1857 (
- .a(HWDATA[27]),
- .b(O59iu6_lutinv),
- .c(Qx0bx6),
- .d(Ztupw6),
- .o(Ywuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1858 (
- .a(HWDATA[28]),
- .b(O59iu6_lutinv),
- .c(Usipw6),
- .d(Ztupw6),
- .o(Fxuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1859 (
- .a(HWDATA[30]),
- .b(O59iu6_lutinv),
- .c(Rz0bx6),
- .d(Ztupw6),
- .o(Ayuhu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u186 (
- .a(Dzvpw6),
- .b(M6kax6),
- .c(Shopw6),
- .d(Wxjpw6),
- .o(Wanow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1860 (
- .a(HWDATA[31]),
- .b(O59iu6_lutinv),
- .c(S0kbx6),
- .d(Ztupw6),
- .o(Acvhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1861 (
- .a(HWDATA[29]),
- .b(O59iu6_lutinv),
- .c(Kojpw6),
- .d(Ztupw6),
- .o(Mxuhu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1862 (
- .a(HWDATA[16]),
- .b(O59iu6_lutinv),
- .c(Ih0bx6),
- .d(Ztupw6),
- .o(Oruhu6));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u1863 (
- .a(Sbyhu6),
- .b(Iyyhu6),
- .c(Bclpw6),
- .o(X9zhu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~A*~(~C*B)))"),
- .INIT(16'hae00))
- _al_u1864 (
- .a(Iyyhu6),
- .b(_al_u1425_o),
- .c(Bclpw6),
- .d(Sdlpw6),
- .o(_al_u1864_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(B*~(D*C)))"),
- .INIT(16'h5111))
- _al_u1865 (
- .a(_al_u631_o),
- .b(_al_u1864_o),
- .c(Bclpw6),
- .d(Rilpw6),
- .o(J9zhu6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1866 (
- .a(_al_u1422_o),
- .b(Flzhu6_lutinv),
- .c(Epyhu6),
- .o(_al_u1866_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*~B))"),
- .INIT(8'h8a))
- _al_u1867 (
- .a(Golpw6),
- .b(Krlpw6),
- .c(Vplpw6),
- .o(_al_u1867_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+B*~(C)*D*~(E)+~(B)*~(C)*~(D)*E+~(B)*C*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E))"),
- .INIT(32'h0a220a02))
- _al_u1868 (
- .a(_al_u1866_o),
- .b(M7zhu6),
- .c(_al_u1423_o),
- .d(_al_u1867_o),
- .e(Y8lpw6),
- .o(_al_u1868_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(~(A)*~(B)*~(D)*~(E)+~(A)*B*~(D)*~(E)+~(A)*~(B)*D*~(E)+~(A)*B*~(D)*E+A*B*~(D)*E))"),
- .INIT(32'h00c01050))
- _al_u1869 (
- .a(Bclpw6),
- .b(Jflpw6),
- .c(Kalpw6),
- .d(Sdlpw6),
- .e(Yklpw6),
- .o(_al_u1869_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u187 (
- .a(X7now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r5_o[0]),
- .d(vis_r7_o[0]),
- .o(_al_u187_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~C*A*~(E*~B))"),
- .INIT(32'h0008000a))
- _al_u1870 (
- .a(J9zhu6),
- .b(_al_u1868_o),
- .c(_al_u1420_o),
- .d(_al_u1869_o),
- .e(Rilpw6),
- .o(_al_u1870_o));
- AL_MAP_LUT5 #(
- .EQN("~(~(~E*C)*~(D*~(B*~A)))"),
- .INIT(32'hbb00fbf0))
- _al_u1871 (
- .a(X9zhu6),
- .b(_al_u1870_o),
- .c(T8yhu6_lutinv),
- .d(U5yhu6),
- .e(Bclpw6),
- .o(Zehpw6[0]));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*B)*~(E*A))"),
- .INIT(32'h0105030f))
- _al_u1872 (
- .a(Habiu6),
- .b(Tc8iu6),
- .c(_al_u1493_o),
- .d(Jpmpw6),
- .e(S7mpw6),
- .o(_al_u1872_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(D*C)*~(E*~A))"),
- .INIT(32'h08880ccc))
- _al_u1873 (
- .a(Hd8iu6_lutinv),
- .b(_al_u1872_o),
- .c(Cbbiu6_lutinv),
- .d(N4kax6),
- .e(P0kax6),
- .o(_al_u1873_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~B*(D@(E*C))))"),
- .INIT(32'ha88a88aa))
- _al_u1874 (
- .a(_al_u1873_o),
- .b(_al_u1500_o),
- .c(R9mpw6),
- .d(Rskax6),
- .e(Sqkax6),
- .o(_al_u1874_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C@B))"),
- .INIT(8'h82))
- _al_u1875 (
- .a(W7biu6),
- .b(Ewjiu6_lutinv),
- .c(_al_u1838_o),
- .o(Zbjiu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+A*~(B)*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h2aababbf))
- _al_u1876 (
- .a(_al_u1836_o),
- .b(Irmpw6),
- .c(S7mpw6),
- .d(Wfspw6),
- .e(Wkipw6),
- .o(_al_u1876_o));
- AL_MAP_LUT4 #(
- .EQN("(D*(C@B@A))"),
- .INIT(16'h9600))
- _al_u1877 (
- .a(_al_u1511_o),
- .b(Jckax6),
- .c(Jgxpw6),
- .d(W4jax6),
- .o(Lwjiu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u1878 (
- .a(Ewjiu6_lutinv),
- .b(_al_u1876_o),
- .c(Lwjiu6_lutinv),
- .o(_al_u1878_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1879 (
- .a(Ewjiu6_lutinv),
- .b(_al_u1512_o),
- .c(N4kax6),
- .o(Ncjiu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u188 (
- .a(_al_u178_o),
- .b(_al_u181_o),
- .c(_al_u184_o),
- .d(_al_u187_o),
- .o(Dc0iu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~(C@B@A))"),
- .INIT(16'h6900))
- _al_u1880 (
- .a(Zbjiu6),
- .b(_al_u1878_o),
- .c(Ncjiu6_lutinv),
- .d(E88iu6_lutinv),
- .o(_al_u1880_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*A*~(D*~C))"),
- .INIT(16'hdfdd))
- _al_u1881 (
- .a(_al_u1874_o),
- .b(_al_u1880_o),
- .c(Yb8iu6),
- .d(Irmpw6),
- .o(Agjiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1882 (
- .a(Kkyiu6),
- .b(Wjyiu6),
- .o(Kwfiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*C)*~(B*A))"),
- .INIT(16'h7707))
- _al_u1883 (
- .a(HWDATA[0]),
- .b(Kwfiu6),
- .c(IRQ[0]),
- .d(S11bx6),
- .o(_al_u1883_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*A)"),
- .INIT(16'h0200))
- _al_u1884 (
- .a(Kkyiu6),
- .b(R9yax6),
- .c(W5ypw6),
- .d(Ztupw6),
- .o(K66iu6));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~(C*B)))"),
- .INIT(16'h80aa))
- _al_u1885 (
- .a(_al_u1883_o),
- .b(HWDATA[0]),
- .c(K66iu6),
- .d(U31bx6),
- .o(_al_u1885_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*~A)"),
- .INIT(16'h0004))
- _al_u1886 (
- .a(vis_ipsr_o[2]),
- .b(vis_ipsr_o[4]),
- .c(vis_ipsr_o[3]),
- .d(vis_ipsr_o[5]),
- .o(_al_u1886_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1887 (
- .a(_al_u1886_o),
- .b(Ukbpw6_lutinv),
- .o(_al_u1887_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1888 (
- .a(_al_u1885_o),
- .b(_al_u1061_o),
- .c(_al_u1887_o),
- .o(J5phu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1889 (
- .a(IRQ[8]),
- .b(Sq3bx6),
- .o(_al_u1889_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u189 (
- .a(Aujpw6),
- .b(Vzupw6),
- .o(Sq3ju6));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*~(C)*E))"),
- .INIT(32'h0008005f))
- _al_u1890 (
- .a(HWDATA[8]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(_al_u1889_o),
- .e(Us3bx6),
- .o(_al_u1890_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*~A)"),
- .INIT(16'h0040))
- _al_u1891 (
- .a(vis_ipsr_o[2]),
- .b(vis_ipsr_o[4]),
- .c(vis_ipsr_o[3]),
- .d(vis_ipsr_o[5]),
- .o(_al_u1891_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1892 (
- .a(_al_u1891_o),
- .b(Ukbpw6_lutinv),
- .o(Bggiu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1893 (
- .a(_al_u1890_o),
- .b(_al_u1061_o),
- .c(Bggiu6_lutinv),
- .o(Bxdpw6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
- .INIT(16'h085f))
- _al_u1894 (
- .a(HWDATA[2]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(N5bbx6),
- .o(_al_u1894_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u1895 (
- .a(_al_u1061_o),
- .b(vis_ipsr_o[0]),
- .c(vis_ipsr_o[1]),
- .o(_al_u1895_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1896 (
- .a(_al_u1894_o),
- .b(_al_u1895_o),
- .c(_al_u1886_o),
- .o(V4phu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1897 (
- .a(IRQ[3]),
- .b(Kshbx6),
- .o(_al_u1897_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*~(C)*E))"),
- .INIT(32'h0008005f))
- _al_u1898 (
- .a(HWDATA[3]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(_al_u1897_o),
- .e(Muhbx6),
- .o(_al_u1898_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1899 (
- .a(_al_u1061_o),
- .b(_al_u1062_o),
- .o(_al_u1899_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u19 (
- .a(Iqzhu6_lutinv),
- .b(Ns8ax6),
- .o(X44iu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u190 (
- .a(R3vpw6),
- .b(Ufopw6),
- .o(_al_u190_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1900 (
- .a(_al_u1898_o),
- .b(_al_u1899_o),
- .c(_al_u1886_o),
- .o(O4phu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u1901 (
- .a(HWDATA[4]),
- .b(K66iu6),
- .c(Gihbx6),
- .o(_al_u1901_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1902 (
- .a(HWDATA[4]),
- .b(Kwfiu6),
- .o(_al_u1902_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(~D*C))"),
- .INIT(16'h1101))
- _al_u1903 (
- .a(_al_u1901_o),
- .b(_al_u1902_o),
- .c(IRQ[4]),
- .d(Eghbx6),
- .o(_al_u1903_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u1904 (
- .a(vis_ipsr_o[2]),
- .b(vis_ipsr_o[4]),
- .c(vis_ipsr_o[3]),
- .d(vis_ipsr_o[5]),
- .o(_al_u1904_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1905 (
- .a(_al_u1904_o),
- .b(Ukbpw6_lutinv),
- .o(Odfiu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1906 (
- .a(_al_u1903_o),
- .b(_al_u1061_o),
- .c(Odfiu6_lutinv),
- .o(H4phu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1907 (
- .a(IRQ[5]),
- .b(Ki3bx6),
- .o(_al_u1907_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*~(C)*E))"),
- .INIT(32'h0008005f))
- _al_u1908 (
- .a(HWDATA[5]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(_al_u1907_o),
- .e(Mk3bx6),
- .o(_al_u1908_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1909 (
- .a(vis_ipsr_o[0]),
- .b(vis_ipsr_o[1]),
- .o(_al_u1909_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u191 (
- .a(_al_u121_o),
- .b(Sq3ju6),
- .c(_al_u190_o),
- .d(Ydopw6),
- .o(_al_u191_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u1910 (
- .a(_al_u1908_o),
- .b(_al_u1061_o),
- .c(_al_u1904_o),
- .d(_al_u1909_o),
- .o(A4phu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u1911 (
- .a(HWDATA[6]),
- .b(K66iu6),
- .c(Lr9bx6),
- .o(_al_u1911_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1912 (
- .a(HWDATA[6]),
- .b(Kwfiu6),
- .o(_al_u1912_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(~D*C))"),
- .INIT(16'h1101))
- _al_u1913 (
- .a(_al_u1911_o),
- .b(_al_u1912_o),
- .c(IRQ[6]),
- .d(Jp9bx6),
- .o(_al_u1913_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1914 (
- .a(_al_u1913_o),
- .b(_al_u1895_o),
- .c(_al_u1904_o),
- .o(T3phu6));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
- .INIT(16'h70f8))
- _al_u1915 (
- .a(HWDATA[15]),
- .b(O59iu6_lutinv),
- .c(Hf0bx6),
- .d(Ztupw6),
- .o(Hruhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1916 (
- .a(IRQ[7]),
- .b(Om3bx6),
- .o(_al_u1916_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*~(C)*E))"),
- .INIT(32'h0008005f))
- _al_u1917 (
- .a(HWDATA[7]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(_al_u1916_o),
- .e(Qo3bx6),
- .o(_al_u1917_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1918 (
- .a(_al_u1917_o),
- .b(_al_u1899_o),
- .c(_al_u1904_o),
- .o(M3phu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u1919 (
- .a(HWDATA[9]),
- .b(K66iu6),
- .c(Rijbx6),
- .o(_al_u1919_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u192 (
- .a(T1vpw6),
- .b(Vzupw6),
- .o(Md0iu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1920 (
- .a(HWDATA[9]),
- .b(Kwfiu6),
- .o(_al_u1920_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(~D*C))"),
- .INIT(16'h1101))
- _al_u1921 (
- .a(_al_u1919_o),
- .b(_al_u1920_o),
- .c(IRQ[9]),
- .d(Pgjbx6),
- .o(_al_u1921_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1922 (
- .a(_al_u1891_o),
- .b(_al_u1909_o),
- .o(Eegiu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1923 (
- .a(_al_u1921_o),
- .b(_al_u1061_o),
- .c(Eegiu6_lutinv),
- .o(Uwdpw6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
- .INIT(16'h085f))
- _al_u1924 (
- .a(HWDATA[20]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(Fe2bx6),
- .o(_al_u1924_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~B*~(~C*~A))"),
- .INIT(16'h3200))
- _al_u1925 (
- .a(vis_ipsr_o[2]),
- .b(vis_ipsr_o[4]),
- .c(vis_ipsr_o[3]),
- .d(vis_ipsr_o[5]),
- .o(_al_u1925_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u1926 (
- .a(vis_ipsr_o[3]),
- .b(_al_u1925_o),
- .o(_al_u1926_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u1927 (
- .a(_al_u1924_o),
- .b(_al_u1061_o),
- .c(_al_u1926_o),
- .d(Ukbpw6_lutinv),
- .o(N0phu6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
- .INIT(16'h085f))
- _al_u1928 (
- .a(HWDATA[21]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(Li2bx6),
- .o(_al_u1928_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u1929 (
- .a(_al_u1928_o),
- .b(_al_u1061_o),
- .c(_al_u1926_o),
- .d(_al_u1909_o),
- .o(G0phu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u193 (
- .a(Bciax6),
- .b(SLEEPHOLDACKn),
- .o(Ae0iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
- .INIT(16'h085f))
- _al_u1930 (
- .a(HWDATA[22]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(Y0gbx6),
- .o(_al_u1930_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1931 (
- .a(_al_u1930_o),
- .b(_al_u1895_o),
- .c(_al_u1926_o),
- .o(Zzohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
- .INIT(16'h085f))
- _al_u1932 (
- .a(HWDATA[23]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(Xq2bx6),
- .o(_al_u1932_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1933 (
- .a(_al_u1932_o),
- .b(_al_u1899_o),
- .c(_al_u1926_o),
- .o(Szohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
- .INIT(16'h085f))
- _al_u1934 (
- .a(HWDATA[24]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(Dv2bx6),
- .o(_al_u1934_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u1935 (
- .a(vis_ipsr_o[2]),
- .b(vis_ipsr_o[4]),
- .c(vis_ipsr_o[3]),
- .d(vis_ipsr_o[5]),
- .o(_al_u1935_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u1936 (
- .a(_al_u1934_o),
- .b(_al_u1061_o),
- .c(_al_u1935_o),
- .d(Ukbpw6_lutinv),
- .o(Nwdpw6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u1937 (
- .a(K5eiu6),
- .b(R9yax6),
- .c(W5ypw6),
- .d(Ztupw6),
- .o(_al_u1937_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1938 (
- .a(_al_u1937_o),
- .b(C1wpw6),
- .o(Ch5iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u1939 (
- .a(HWDATA[26]),
- .b(_al_u730_o),
- .c(Ch5iu6_lutinv),
- .d(Avzax6),
- .o(Ag5iu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u194 (
- .a(R3vpw6),
- .b(Xxupw6),
- .o(_al_u194_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1940 (
- .a(vis_ipsr_o[2]),
- .b(vis_ipsr_o[3]),
- .o(Ljbpw6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u1941 (
- .a(H9row6_lutinv),
- .b(_al_u1062_o),
- .c(Ljbpw6_lutinv),
- .o(Ajgiu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u1942 (
- .a(_al_u1061_o),
- .b(Ajgiu6),
- .c(Zdtpw6),
- .o(_al_u1942_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~(C*~(D*B)))"),
- .INIT(16'h75f5))
- _al_u1943 (
- .a(Ag5iu6),
- .b(HWDATA[25]),
- .c(_al_u1942_o),
- .d(Ch5iu6_lutinv),
- .o(Qmthu6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
- .INIT(16'h085f))
- _al_u1944 (
- .a(HWDATA[25]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(Rm2bx6),
- .o(_al_u1944_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u1945 (
- .a(_al_u1944_o),
- .b(_al_u1061_o),
- .c(_al_u1935_o),
- .d(_al_u1909_o),
- .o(Gwdpw6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
- .INIT(16'h085f))
- _al_u1946 (
- .a(HWDATA[26]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(Jz2bx6),
- .o(_al_u1946_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1947 (
- .a(_al_u1946_o),
- .b(_al_u1895_o),
- .c(_al_u1935_o),
- .o(Lzohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
- .INIT(16'h085f))
- _al_u1948 (
- .a(HWDATA[27]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(P33bx6),
- .o(_al_u1948_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1949 (
- .a(_al_u1948_o),
- .b(_al_u1899_o),
- .c(_al_u1935_o),
- .o(Ezohu6));
- AL_MAP_LUT5 #(
- .EQN("(~(E*D*B)*~(~C*A))"),
- .INIT(32'h31f5f5f5))
- _al_u195 (
- .a(_al_u191_o),
- .b(Md0iu6_lutinv),
- .c(Ae0iu6_lutinv),
- .d(_al_u194_o),
- .e(Ydopw6),
- .o(Xuzhu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u1950 (
- .a(H9row6_lutinv),
- .b(Ljbpw6_lutinv),
- .c(vis_ipsr_o[0]),
- .d(vis_ipsr_o[1]),
- .o(Rhgiu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u1951 (
- .a(_al_u1061_o),
- .b(Rhgiu6),
- .c(Mnmpw6),
- .o(_al_u1951_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hdcf0))
- _al_u1952 (
- .a(HWDATA[27]),
- .b(HWDATA[28]),
- .c(_al_u1951_o),
- .d(Ch5iu6_lutinv),
- .o(Xmthu6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
- .INIT(16'h085f))
- _al_u1953 (
- .a(HWDATA[28]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(V73bx6),
- .o(_al_u1953_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u1954 (
- .a(Ljbpw6_lutinv),
- .b(vis_ipsr_o[4]),
- .c(vis_ipsr_o[5]),
- .o(U5cpw6));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u1955 (
- .a(_al_u1953_o),
- .b(_al_u1061_o),
- .c(U5cpw6),
- .d(Ukbpw6_lutinv),
- .o(Xyohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
- .INIT(16'h085f))
- _al_u1956 (
- .a(HWDATA[30]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(Tcipw6),
- .o(_al_u1956_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1957 (
- .a(_al_u1956_o),
- .b(_al_u1895_o),
- .c(U5cpw6),
- .o(Jyohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
- .INIT(16'h085f))
- _al_u1958 (
- .a(HWDATA[31]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(Hg3bx6),
- .o(_al_u1958_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1959 (
- .a(_al_u1958_o),
- .b(_al_u1899_o),
- .c(U5cpw6),
- .o(Cyohu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u196 (
- .a(Dc0iu6),
- .b(Xuzhu6),
- .o(Mifpw6[0]));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
- .INIT(16'h085f))
- _al_u1960 (
- .a(HWDATA[29]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(Bc3bx6),
- .o(_al_u1960_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u1961 (
- .a(_al_u1960_o),
- .b(_al_u1061_o),
- .c(U5cpw6),
- .d(_al_u1909_o),
- .o(Qyohu6));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~(E*B)*~(D*A)))"),
- .INIT(32'he0c0a000))
- _al_u1962 (
- .a(Lbyhu6),
- .b(_al_u1423_o),
- .c(Pyyhu6_lutinv),
- .d(Krlpw6),
- .e(Y8lpw6),
- .o(_al_u1962_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
- .INIT(16'h220a))
- _al_u1963 (
- .a(_al_u1425_o),
- .b(Bclpw6),
- .c(Jflpw6),
- .d(Sdlpw6),
- .o(_al_u1963_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~C*A*~(E*B))"),
- .INIT(32'h0002000a))
- _al_u1964 (
- .a(_al_u1417_o),
- .b(_al_u1962_o),
- .c(Vnyhu6_lutinv),
- .d(_al_u1963_o),
- .e(Rilpw6),
- .o(_al_u1964_o));
- AL_MAP_LUT3 #(
- .EQN("(A*(C@B))"),
- .INIT(8'h28))
- _al_u1965 (
- .a(T8yhu6_lutinv),
- .b(_al_u130_o),
- .c(Kalpw6),
- .o(_al_u1965_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u1966 (
- .a(Iyyhu6),
- .b(Bclpw6),
- .c(Rilpw6),
- .d(Sdlpw6),
- .o(_al_u1966_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*~(D*~(~C*A)))"),
- .INIT(16'hfdcc))
- _al_u1967 (
- .a(_al_u1964_o),
- .b(_al_u1965_o),
- .c(_al_u1966_o),
- .d(U5yhu6),
- .o(Zehpw6[2]));
- AL_MAP_LUT5 #(
- .EQN("(~(~D*C*B)*~(~E*A))"),
- .INIT(32'hff3f5515))
- _al_u1968 (
- .a(_al_u1068_o),
- .b(Ae0iu6_lutinv),
- .c(Pthiu6),
- .d(Hirpw6),
- .e(T1vpw6),
- .o(Y9iow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u1969 (
- .a(Ru3pw6_lutinv),
- .b(D6kiu6_lutinv),
- .o(_al_u1969_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u197 (
- .a(C7now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r6_o[12]),
- .d(vis_r5_o[12]),
- .o(_al_u197_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(~D*C))"),
- .INIT(16'h8808))
- _al_u1970 (
- .a(T1jiu6),
- .b(Y9iow6),
- .c(_al_u1969_o),
- .d(Vzupw6),
- .o(_al_u1970_o));
- AL_MAP_LUT5 #(
- .EQN("(B*A*~(E*D*C))"),
- .INIT(32'h08888888))
- _al_u1971 (
- .a(Epjiu6),
- .b(_al_u1970_o),
- .c(_al_u1684_o),
- .d(_al_u145_o),
- .e(_al_u690_o),
- .o(_al_u1971_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(~D*B)))"),
- .INIT(16'h50d0))
- _al_u1972 (
- .a(_al_u1971_o),
- .b(Hviiu6),
- .c(HREADY),
- .d(P14qw6),
- .o(_al_u1972_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(~D*~C))"),
- .INIT(16'h8880))
- _al_u1973 (
- .a(Ia8iu6_lutinv),
- .b(_al_u1503_o),
- .c(Sojax6),
- .d(U9ypw6),
- .o(_al_u1973_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*~D))"),
- .INIT(32'h02000202))
- _al_u1974 (
- .a(Ubkiu6),
- .b(Ttjiu6_lutinv),
- .c(_al_u1973_o),
- .d(Ae0iu6_lutinv),
- .e(_al_u398_o),
- .o(_al_u1974_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1975 (
- .a(_al_u1974_o),
- .b(_al_u1487_o),
- .o(Hm7ow6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u1976 (
- .a(_al_u1604_o),
- .b(_al_u718_o),
- .c(Ia8iu6_lutinv),
- .o(Cn7ow6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*~A))"),
- .INIT(16'h8acf))
- _al_u1977 (
- .a(Hm7ow6_lutinv),
- .b(Cn7ow6),
- .c(Jckax6),
- .d(W4jax6),
- .o(_al_u1977_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~A*~(C*B))"),
- .INIT(16'h0015))
- _al_u1978 (
- .a(_al_u1498_o),
- .b(L45iu6_lutinv),
- .c(Llaow6_lutinv),
- .d(_al_u141_o),
- .o(Dk7ow6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~((~D*~C))*~(E)+A*~(B)*(~D*~C)*~(E)+~(A)*~(B)*~((~D*~C))*E+A*~(B)*~((~D*~C))*E+~(A)*B*~((~D*~C))*E+~(A)*~(B)*(~D*~C)*E+A*~(B)*(~D*~C)*E+~(A)*B*(~D*~C)*E+A*B*(~D*~C)*E)"),
- .INIT(32'h777f2222))
- _al_u1979 (
- .a(_al_u1972_o),
- .b(_al_u1977_o),
- .c(_al_u721_o),
- .d(Dk7ow6),
- .e(Umkax6),
- .o(Leohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u198 (
- .a(V6now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[12]),
- .d(vis_r2_o[12]),
- .o(_al_u198_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*~A))"),
- .INIT(16'h8caf))
- _al_u1980 (
- .a(_al_u1974_o),
- .b(Cn7ow6),
- .c(N4kax6),
- .d(W4jax6),
- .o(_al_u1980_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~((~D*~C))*~(E)+A*~(B)*(~D*~C)*~(E)+~(A)*~(B)*~((~D*~C))*E+A*~(B)*~((~D*~C))*E+~(A)*B*~((~D*~C))*E+~(A)*~(B)*(~D*~C)*E+A*~(B)*(~D*~C)*E+~(A)*B*(~D*~C)*E+A*B*(~D*~C)*E)"),
- .INIT(32'h777f2222))
- _al_u1981 (
- .a(_al_u1972_o),
- .b(_al_u1980_o),
- .c(_al_u1052_o),
- .d(Dk7ow6),
- .e(V6jax6),
- .o(Miohu6));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u1982 (
- .a(T23ju6_lutinv),
- .b(T1vpw6),
- .c(Ufopw6),
- .o(_al_u1982_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*~A)"),
- .INIT(16'h4000))
- _al_u1983 (
- .a(_al_u1982_o),
- .b(F4iax6),
- .c(P5vpw6),
- .d(Vzjpw6),
- .o(_al_u1983_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*~B)*~(E*A))"),
- .INIT(32'h04050c0f))
- _al_u1984 (
- .a(Cbbiu6_lutinv),
- .b(Cn7ow6),
- .c(_al_u1983_o),
- .d(Jgxpw6),
- .e(Ssjax6),
- .o(_al_u1984_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u1985 (
- .a(Hm7ow6_lutinv),
- .b(_al_u1984_o),
- .c(Jckax6),
- .o(_al_u1985_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~((~D*~C))*~(E)+A*~(B)*(~D*~C)*~(E)+~(A)*~(B)*~((~D*~C))*E+A*~(B)*~((~D*~C))*E+~(A)*B*~((~D*~C))*E+~(A)*~(B)*(~D*~C)*E+A*~(B)*(~D*~C)*E+~(A)*B*(~D*~C)*E+A*B*(~D*~C)*E)"),
- .INIT(32'h777f2222))
- _al_u1986 (
- .a(_al_u1972_o),
- .b(_al_u1985_o),
- .c(Dk7ow6),
- .d(_al_u720_o),
- .e(Fkrpw6),
- .o(Ssohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u1987 (
- .a(_al_u1604_o),
- .b(Kmiiu6),
- .c(Sojax6),
- .d(Ssjax6),
- .o(_al_u1987_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u1988 (
- .a(Aaiiu6),
- .b(_al_u1987_o),
- .c(Z4jiu6_lutinv),
- .d(F6ziu6),
- .o(_al_u1988_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u1989 (
- .a(Ljiiu6),
- .b(_al_u1988_o),
- .c(Wkipw6),
- .o(_al_u1989_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u199 (
- .a(N9now6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r0_o[12]),
- .d(vis_r1_o[12]),
- .o(Ig9pw6));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(~C*A))"),
- .INIT(8'h31))
- _al_u1990 (
- .a(U98iu6),
- .b(P5vpw6),
- .c(T1vpw6),
- .o(_al_u1990_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(~C*B))"),
- .INIT(8'h51))
- _al_u1991 (
- .a(_al_u1990_o),
- .b(_al_u390_o),
- .c(Oikax6),
- .o(_al_u1991_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf722))
- _al_u1992 (
- .a(D8iiu6),
- .b(_al_u1989_o),
- .c(_al_u1991_o),
- .d(Rkkax6),
- .o(Cfthu6));
- AL_MAP_LUT5 #(
- .EQN("(~E*D*C*B*A)"),
- .INIT(32'h00008000))
- _al_u1993 (
- .a(_al_u1604_o),
- .b(L88iu6_lutinv),
- .c(_al_u1225_o),
- .d(_al_u1226_o),
- .e(Jckax6),
- .o(_al_u1993_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*~C*B))"),
- .INIT(16'h5155))
- _al_u1994 (
- .a(_al_u1993_o),
- .b(Dmiiu6),
- .c(Ae0iu6_lutinv),
- .d(Nbkiu6_lutinv),
- .o(_al_u1994_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u1995 (
- .a(Z4jiu6_lutinv),
- .b(_al_u1784_o),
- .c(Ssjax6),
- .d(U9ypw6),
- .o(_al_u1995_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~(~B*A))"),
- .INIT(16'h000d))
- _al_u1996 (
- .a(_al_u1994_o),
- .b(_al_u1995_o),
- .c(_al_u1087_o),
- .d(Sojax6),
- .o(_al_u1996_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u1997 (
- .a(_al_u148_o),
- .b(Hirpw6),
- .o(Kr7ow6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(~B*A*~(~E*D*C))"),
- .INIT(32'h22220222))
- _al_u1998 (
- .a(Lv7ow6),
- .b(_al_u1805_o),
- .c(L45iu6_lutinv),
- .d(Kr7ow6_lutinv),
- .e(Ae0iu6_lutinv),
- .o(_al_u1998_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D))"),
- .INIT(16'h880a))
- _al_u1999 (
- .a(U98iu6),
- .b(_al_u638_o),
- .c(Vzupw6),
- .d(Yvjpw6),
- .o(_al_u1999_o));
- AL_MAP_LUT2 #(
- .EQN("~(~B*~A)"),
- .INIT(4'he))
- _al_u2 (
- .a(Qwfax6),
- .b(Utqpw6),
- .o(n276));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u20 (
- .a(Iqzhu6_lutinv),
- .b(Fj8ax6),
- .o(E54iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u200 (
- .a(Panow6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r3_o[12]),
- .d(vis_r4_o[12]),
- .o(_al_u200_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(~B*~A))"),
- .INIT(8'he0))
- _al_u2000 (
- .a(Ru3pw6_lutinv),
- .b(Cc2ju6_lutinv),
- .c(_al_u690_o),
- .o(_al_u2000_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u2001 (
- .a(_al_u1998_o),
- .b(_al_u1999_o),
- .c(_al_u2000_o),
- .d(Ujjiu6),
- .o(_al_u2001_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2002 (
- .a(Sojax6),
- .b(Ssjax6),
- .o(_al_u2002_o));
- AL_MAP_LUT5 #(
- .EQN("(~(E*B)*~(~(~D*~C)*A))"),
- .INIT(32'h1113555f))
- _al_u2003 (
- .a(_al_u1788_o),
- .b(Ot7ow6),
- .c(_al_u2002_o),
- .d(Dxvpw6),
- .e(Wkipw6),
- .o(_al_u2003_o));
- AL_MAP_LUT4 #(
- .EQN("(D*B*~(~C*A))"),
- .INIT(16'hc400))
- _al_u2004 (
- .a(Mmjiu6_lutinv),
- .b(_al_u145_o),
- .c(Ae0iu6_lutinv),
- .d(_al_u394_o),
- .o(_al_u2004_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*~A)"),
- .INIT(16'h0040))
- _al_u2005 (
- .a(_al_u1996_o),
- .b(_al_u2001_o),
- .c(_al_u2003_o),
- .d(_al_u2004_o),
- .o(_al_u2005_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(~B*A))"),
- .INIT(8'hd0))
- _al_u2006 (
- .a(_al_u2005_o),
- .b(_al_u1778_o),
- .c(HREADY),
- .o(n3178));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*C*B))"),
- .INIT(16'haa2a))
- _al_u2007 (
- .a(Golpw6),
- .b(Krlpw6),
- .c(Vplpw6),
- .d(Zslpw6),
- .o(F7zhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2008 (
- .a(Lbyhu6),
- .b(V8zhu6_lutinv),
- .c(F7zhu6),
- .d(Epyhu6),
- .o(R6zhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'ha1a3))
- _al_u2009 (
- .a(R6zhu6),
- .b(_al_u1423_o),
- .c(Rilpw6),
- .d(Vnyhu6_lutinv),
- .o(D6zhu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u201 (
- .a(_al_u197_o),
- .b(_al_u198_o),
- .c(Ig9pw6),
- .d(_al_u200_o),
- .o(Ib0iu6));
- AL_MAP_LUT5 #(
- .EQN("(~E*A*~(B*~(~D*C)))"),
- .INIT(32'h000022a2))
- _al_u2010 (
- .a(_al_u1416_o),
- .b(Jflpw6),
- .c(Kalpw6),
- .d(Krlpw6),
- .e(Sdlpw6),
- .o(_al_u2010_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u2011 (
- .a(D6zhu6_lutinv),
- .b(J9zhu6),
- .c(_al_u1430_o),
- .d(_al_u2010_o),
- .o(_al_u2011_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2012 (
- .a(Pyyhu6_lutinv),
- .b(Krlpw6),
- .c(Zslpw6),
- .o(_al_u2012_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~C*B))"),
- .INIT(16'ha2aa))
- _al_u2013 (
- .a(_al_u2011_o),
- .b(_al_u1423_o),
- .c(_al_u2012_o),
- .d(Y8lpw6),
- .o(_al_u2013_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*~(B*~(~E*A))))"),
- .INIT(32'h0c0f040f))
- _al_u2014 (
- .a(X9zhu6),
- .b(_al_u2013_o),
- .c(_al_u1045_o),
- .d(U5yhu6),
- .e(Krlpw6),
- .o(_al_u2014_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~(B*(D@C)))"),
- .INIT(16'h5dd5))
- _al_u2015 (
- .a(_al_u2014_o),
- .b(T8yhu6_lutinv),
- .c(Bclpw6),
- .d(Sdlpw6),
- .o(Zehpw6[1]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2016 (
- .a(HWDATA[10]),
- .b(Kwfiu6),
- .o(_al_u2016_o));
- AL_MAP_LUT5 #(
- .EQN("(~(~D*C)*~(E*~(B*A)))"),
- .INIT(32'h8808ff0f))
- _al_u2017 (
- .a(HWDATA[10]),
- .b(K66iu6),
- .c(IRQ[10]),
- .d(Ca1bx6),
- .e(Fc1bx6),
- .o(_al_u2017_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u2018 (
- .a(_al_u2016_o),
- .b(_al_u2017_o),
- .c(_al_u1895_o),
- .d(_al_u1891_o),
- .o(F3phu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u2019 (
- .a(HWDATA[11]),
- .b(K66iu6),
- .c(Lg1bx6),
- .o(_al_u2019_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u202 (
- .a(Ib0iu6),
- .b(Xuzhu6),
- .o(Mifpw6[12]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2020 (
- .a(HWDATA[11]),
- .b(Kwfiu6),
- .o(_al_u2020_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(~D*C))"),
- .INIT(16'h1101))
- _al_u2021 (
- .a(_al_u2019_o),
- .b(_al_u2020_o),
- .c(IRQ[11]),
- .d(Ie1bx6),
- .o(_al_u2021_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2022 (
- .a(_al_u2021_o),
- .b(_al_u1899_o),
- .c(_al_u1891_o),
- .o(Y2phu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2023 (
- .a(IRQ[12]),
- .b(W51bx6),
- .o(_al_u2023_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*~(C)*E))"),
- .INIT(32'h0008005f))
- _al_u2024 (
- .a(HWDATA[12]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(_al_u2023_o),
- .e(Z71bx6),
- .o(_al_u2024_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u2025 (
- .a(Ljbpw6_lutinv),
- .b(vis_ipsr_o[4]),
- .c(vis_ipsr_o[5]),
- .o(_al_u2025_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2026 (
- .a(_al_u2025_o),
- .b(Ukbpw6_lutinv),
- .o(_al_u2026_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2027 (
- .a(_al_u2024_o),
- .b(_al_u1061_o),
- .c(_al_u2026_o),
- .o(R2phu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2028 (
- .a(IRQ[13]),
- .b(Oi1bx6),
- .o(_al_u2028_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*~(C)*E))"),
- .INIT(32'h0008005f))
- _al_u2029 (
- .a(HWDATA[13]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(_al_u2028_o),
- .e(Rk1bx6),
- .o(_al_u2029_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u203 (
- .a(C7now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r6_o[13]),
- .d(vis_r4_o[13]),
- .o(_al_u203_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2030 (
- .a(_al_u2025_o),
- .b(_al_u1909_o),
- .o(_al_u2030_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2031 (
- .a(_al_u2029_o),
- .b(_al_u1061_o),
- .c(_al_u2030_o),
- .o(K2phu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u2032 (
- .a(HWDATA[14]),
- .b(K66iu6),
- .c(Xo1bx6),
- .o(_al_u2032_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2033 (
- .a(HWDATA[14]),
- .b(Kwfiu6),
- .o(_al_u2033_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(~D*C))"),
- .INIT(16'h1101))
- _al_u2034 (
- .a(_al_u2032_o),
- .b(_al_u2033_o),
- .c(IRQ[14]),
- .d(Um1bx6),
- .o(_al_u2034_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u2035 (
- .a(_al_u2025_o),
- .b(vis_ipsr_o[0]),
- .c(vis_ipsr_o[1]),
- .o(R3giu6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2036 (
- .a(_al_u2034_o),
- .b(_al_u1061_o),
- .c(R3giu6),
- .o(D2phu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2037 (
- .a(IRQ[17]),
- .b(Ar1bx6),
- .o(_al_u2037_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*~(C)*E))"),
- .INIT(32'h0008005f))
- _al_u2038 (
- .a(HWDATA[17]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(_al_u2037_o),
- .e(Dt1bx6),
- .o(_al_u2038_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*~A)"),
- .INIT(16'h0100))
- _al_u2039 (
- .a(vis_ipsr_o[2]),
- .b(vis_ipsr_o[4]),
- .c(vis_ipsr_o[3]),
- .d(vis_ipsr_o[5]),
- .o(_al_u2039_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u204 (
- .a(Panow6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r3_o[13]),
- .d(vis_r7_o[13]),
- .o(J69pw6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2040 (
- .a(_al_u2039_o),
- .b(_al_u1909_o),
- .o(_al_u2040_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2041 (
- .a(_al_u2038_o),
- .b(_al_u1061_o),
- .c(_al_u2040_o),
- .o(I1phu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*C)*~(B*A))"),
- .INIT(16'h7707))
- _al_u2042 (
- .a(HWDATA[1]),
- .b(Kwfiu6),
- .c(IRQ[1]),
- .d(Y72bx6),
- .o(_al_u2042_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~(C*B)))"),
- .INIT(16'h80aa))
- _al_u2043 (
- .a(_al_u2042_o),
- .b(HWDATA[1]),
- .c(K66iu6),
- .d(Aa2bx6),
- .o(_al_u2043_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u2044 (
- .a(_al_u2043_o),
- .b(_al_u1061_o),
- .c(_al_u1886_o),
- .d(_al_u1909_o),
- .o(C5phu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2045 (
- .a(IRQ[18]),
- .b(Mz1bx6),
- .o(_al_u2045_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*~(C)*E))"),
- .INIT(32'h0008005f))
- _al_u2046 (
- .a(HWDATA[18]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(_al_u2045_o),
- .e(P12bx6),
- .o(_al_u2046_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2047 (
- .a(_al_u2046_o),
- .b(_al_u1895_o),
- .c(_al_u2039_o),
- .o(B1phu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2048 (
- .a(HWDATA[19]),
- .b(Kwfiu6),
- .o(_al_u2048_o));
- AL_MAP_LUT5 #(
- .EQN("(~(~D*C)*~(E*~(B*A)))"),
- .INIT(32'h8808ff0f))
- _al_u2049 (
- .a(HWDATA[19]),
- .b(K66iu6),
- .c(IRQ[19]),
- .d(S32bx6),
- .e(V52bx6),
- .o(_al_u2049_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u205 (
- .a(N9now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r1_o[13]),
- .d(vis_r5_o[13]),
- .o(_al_u205_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u2050 (
- .a(_al_u2048_o),
- .b(_al_u2049_o),
- .c(_al_u1899_o),
- .d(_al_u2039_o),
- .o(U0phu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u2051 (
- .a(HWDATA[16]),
- .b(K66iu6),
- .c(Jx1bx6),
- .o(_al_u2051_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2052 (
- .a(HWDATA[16]),
- .b(Kwfiu6),
- .o(_al_u2052_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(~D*C))"),
- .INIT(16'h1101))
- _al_u2053 (
- .a(_al_u2051_o),
- .b(_al_u2052_o),
- .c(IRQ[16]),
- .d(Gv1bx6),
- .o(_al_u2053_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2054 (
- .a(_al_u2039_o),
- .b(Ukbpw6_lutinv),
- .o(Hwhiu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2055 (
- .a(_al_u2053_o),
- .b(_al_u1061_o),
- .c(Hwhiu6_lutinv),
- .o(P1phu6));
- AL_MAP_LUT4 #(
- .EQN("~(C*~((~D*B))*~(A)+C*(~D*B)*~(A)+~(C)*(~D*B)*A+C*(~D*B)*A)"),
- .INIT(16'haf27))
- _al_u2056 (
- .a(Cn7ow6),
- .b(Ssjax6),
- .c(Wkipw6),
- .d(Hd8iu6_lutinv),
- .o(_al_u2056_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*~A)"),
- .INIT(16'h4000))
- _al_u2057 (
- .a(_al_u1982_o),
- .b(G2iax6),
- .c(P5vpw6),
- .d(Vzjpw6),
- .o(_al_u2057_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(16'h0511))
- _al_u2058 (
- .a(_al_u2057_o),
- .b(Ae0iu6_lutinv),
- .c(_al_u1463_o),
- .d(P5vpw6),
- .o(_al_u2058_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*B))"),
- .INIT(16'h20a0))
- _al_u2059 (
- .a(_al_u2056_o),
- .b(Cbbiu6_lutinv),
- .c(_al_u2058_o),
- .d(Rwjax6),
- .o(_al_u2059_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u206 (
- .a(V6now6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r0_o[13]),
- .d(vis_r2_o[13]),
- .o(_al_u206_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u2060 (
- .a(Hm7ow6_lutinv),
- .b(_al_u2059_o),
- .c(Jgxpw6),
- .o(Itbow6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~((~D*~C))*~(E)+A*~(B)*(~D*~C)*~(E)+~(A)*~(B)*~((~D*~C))*E+A*~(B)*~((~D*~C))*E+~(A)*B*~((~D*~C))*E+~(A)*~(B)*(~D*~C)*E+A*~(B)*(~D*~C)*E+~(A)*B*(~D*~C)*E+A*B*(~D*~C)*E)"),
- .INIT(32'h777f2222))
- _al_u2061 (
- .a(_al_u1972_o),
- .b(Itbow6),
- .c(Dk7ow6),
- .d(_al_u391_o),
- .e(Ubypw6),
- .o(Tpohu6));
- AL_MAP_LUT5 #(
- .EQN("(B*~(D*C)*~(E*~A))"),
- .INIT(32'h08880ccc))
- _al_u2062 (
- .a(_al_u1600_o),
- .b(Aaiiu6),
- .c(_al_u1604_o),
- .d(P0kax6),
- .e(Rwjax6),
- .o(_al_u2062_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u2063 (
- .a(_al_u1595_o),
- .b(_al_u2062_o),
- .c(S7mpw6),
- .o(Xbiiu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~((D*~C))*~(E)+A*~(B)*(D*~C)*~(E)+~(A)*~(B)*~((D*~C))*E+A*~(B)*~((D*~C))*E+~(A)*B*~((D*~C))*E+~(A)*~(B)*(D*~C)*E+A*~(B)*(D*~C)*E+~(A)*B*(D*~C)*E+A*B*(D*~C)*E)"),
- .INIT(32'h7f772222))
- _al_u2064 (
- .a(D8iiu6),
- .b(Xbiiu6),
- .c(_al_u1990_o),
- .d(Iekax6),
- .e(Lgkax6),
- .o(Qfthu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2065 (
- .a(Y0jiu6),
- .b(_al_u148_o),
- .o(_al_u2065_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2066 (
- .a(_al_u121_o),
- .b(_al_u142_o),
- .c(_al_u638_o),
- .o(_al_u2066_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u2067 (
- .a(Aujpw6),
- .b(Xxupw6),
- .o(Qyniu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(D*~A))"),
- .INIT(16'h80c0))
- _al_u2068 (
- .a(_al_u1091_o),
- .b(Qyniu6_lutinv),
- .c(Vzupw6),
- .d(Yvjpw6),
- .o(_al_u2068_o));
- AL_MAP_LUT4 #(
- .EQN("~((D*B)*~(A)*~(C)+(D*B)*A*~(C)+~((D*B))*A*C+(D*B)*A*C)"),
- .INIT(16'h535f))
- _al_u2069 (
- .a(T23ju6_lutinv),
- .b(_al_u410_o),
- .c(P5vpw6),
- .d(T1vpw6),
- .o(Rvniu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u207 (
- .a(_al_u203_o),
- .b(J69pw6),
- .c(_al_u205_o),
- .d(_al_u206_o),
- .o(Bb0iu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u2070 (
- .a(_al_u141_o),
- .b(Ae0iu6_lutinv),
- .c(Aujpw6),
- .d(R3vpw6),
- .o(_al_u2070_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*D*~C*~B*~A)"),
- .INIT(32'h00000100))
- _al_u2071 (
- .a(_al_u2065_o),
- .b(_al_u2066_o),
- .c(_al_u2068_o),
- .d(Rvniu6),
- .e(_al_u2070_o),
- .o(_al_u2071_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2072 (
- .a(_al_u669_o),
- .b(_al_u190_o),
- .c(_al_u1718_o),
- .o(_al_u2072_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(~(C*B)*~(E*A)))"),
- .INIT(32'h00ea00c0))
- _al_u2073 (
- .a(_al_u158_o),
- .b(_al_u394_o),
- .c(_al_u1095_o),
- .d(Ufopw6),
- .e(Vzupw6),
- .o(_al_u2073_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*~(~C*B)))"),
- .INIT(16'haa08))
- _al_u2074 (
- .a(_al_u2071_o),
- .b(_al_u2072_o),
- .c(_al_u2073_o),
- .d(Aujpw6),
- .o(_al_u2074_o));
- AL_MAP_LUT5 #(
- .EQN("~((D*C)*~((B*A))*~(E)+(D*C)*(B*A)*~(E)+~((D*C))*(B*A)*E+(D*C)*(B*A)*E)"),
- .INIT(32'h77770fff))
- _al_u2075 (
- .a(_al_u121_o),
- .b(Yecpw6_lutinv),
- .c(Edapw6_lutinv),
- .d(Yp8iu6),
- .e(Xxupw6),
- .o(_al_u2075_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u2076 (
- .a(_al_u2075_o),
- .b(_al_u957_o),
- .c(_al_u154_o),
- .d(_al_u155_o),
- .o(_al_u2076_o));
- AL_MAP_LUT5 #(
- .EQN("(~(E*A)*(~(B)*~(C)*~(D)+B*~(C)*~(D)+~(B)*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(32'h5015f03f))
- _al_u2077 (
- .a(Pthiu6),
- .b(T1vpw6),
- .c(Ufopw6),
- .d(Xxupw6),
- .e(Yvjpw6),
- .o(_al_u2077_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(16'h0a22))
- _al_u2078 (
- .a(_al_u2077_o),
- .b(_al_u154_o),
- .c(_al_u1440_o),
- .d(Xxupw6),
- .o(_al_u2078_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(A*~(D*~B)))"),
- .INIT(16'h0705))
- _al_u2079 (
- .a(_al_u2076_o),
- .b(_al_u2078_o),
- .c(Vygax6),
- .d(Ydopw6),
- .o(_al_u2079_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u208 (
- .a(Bb0iu6),
- .b(Xuzhu6),
- .o(Mifpw6[13]));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*B*A))"),
- .INIT(16'h0f07))
- _al_u2080 (
- .a(_al_u410_o),
- .b(_al_u688_o),
- .c(Hirpw6),
- .d(P5vpw6),
- .o(_al_u2080_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u2081 (
- .a(_al_u158_o),
- .b(Cc2ju6_lutinv),
- .c(R3vpw6),
- .o(_al_u2081_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*A*~(~E*D*C))"),
- .INIT(32'h22220222))
- _al_u2082 (
- .a(_al_u2080_o),
- .b(_al_u2081_o),
- .c(_al_u1445_o),
- .d(_al_u1155_o),
- .e(T1vpw6),
- .o(_al_u2082_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u2083 (
- .a(_al_u148_o),
- .b(S2ziu6_lutinv),
- .c(Ufopw6),
- .d(Xxupw6),
- .o(_al_u2083_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~A*~(C*B))"),
- .INIT(16'h1500))
- _al_u2084 (
- .a(_al_u2083_o),
- .b(_al_u190_o),
- .c(Pthiu6),
- .d(Hirpw6),
- .o(_al_u2084_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(D*B*A))"),
- .INIT(16'h070f))
- _al_u2085 (
- .a(Llaow6_lutinv),
- .b(Ldoiu6_lutinv),
- .c(_al_u670_o),
- .d(Ufopw6),
- .o(_al_u2085_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(B*~(D*~C)))"),
- .INIT(16'h1511))
- _al_u2086 (
- .a(_al_u2082_o),
- .b(_al_u2084_o),
- .c(_al_u2085_o),
- .d(_al_u1095_o),
- .o(_al_u2086_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~C*~B*A))"),
- .INIT(16'hfd00))
- _al_u2087 (
- .a(_al_u2074_o),
- .b(_al_u2079_o),
- .c(_al_u2086_o),
- .d(HREADY),
- .o(_al_u2087_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2088 (
- .a(_al_u2087_o),
- .b(_al_u1094_o),
- .o(Crniu6));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*C))"),
- .INIT(16'h0222))
- _al_u2089 (
- .a(Crniu6),
- .b(Fq8iu6),
- .c(_al_u194_o),
- .d(_al_u1660_o),
- .o(Umniu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u209 (
- .a(Panow6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r3_o[14]),
- .d(vis_r4_o[14]),
- .o(_al_u209_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*~A))"),
- .INIT(16'h8caf))
- _al_u2090 (
- .a(_al_u1731_o),
- .b(Yoniu6),
- .c(Rkkax6),
- .d(Vmipw6),
- .o(Esniu6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*~C))"),
- .INIT(16'h8088))
- _al_u2091 (
- .a(Umniu6),
- .b(Esniu6),
- .c(Mpniu6),
- .d(M6kax6),
- .o(_al_u2091_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2092 (
- .a(_al_u2087_o),
- .b(_al_u1732_o),
- .o(Qkniu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u2093 (
- .a(_al_u2091_o),
- .b(Qkniu6_lutinv),
- .o(Dhniu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*~A))"),
- .INIT(16'h8caf))
- _al_u2094 (
- .a(Mpniu6),
- .b(_al_u1731_o),
- .c(Dzvpw6),
- .d(Oikax6),
- .o(_al_u2094_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*~C))"),
- .INIT(16'h8088))
- _al_u2095 (
- .a(Umniu6),
- .b(_al_u2094_o),
- .c(Yoniu6),
- .d(Htmpw6),
- .o(_al_u2095_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*~A))"),
- .INIT(16'h8acf))
- _al_u2096 (
- .a(Mpniu6),
- .b(_al_u1731_o),
- .c(Iekax6),
- .d(Shopw6),
- .o(Aqniu6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*~C))"),
- .INIT(16'h8088))
- _al_u2097 (
- .a(Crniu6),
- .b(Aqniu6),
- .c(Yoniu6),
- .d(Vhspw6),
- .o(_al_u2097_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2098 (
- .a(_al_u2095_o),
- .b(_al_u2097_o),
- .o(Ckniu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2099 (
- .a(Dhniu6_lutinv),
- .b(Ckniu6),
- .o(Etmiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u21 (
- .a(Iqzhu6_lutinv),
- .b(Liabx6),
- .o(L54iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u210 (
- .a(N9now6_lutinv),
- .b(C7now6_lutinv),
- .c(vis_r6_o[14]),
- .d(vis_r1_o[14]),
- .o(_al_u210_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2100 (
- .a(IRQ[15]),
- .b(Woiax6),
- .o(_al_u2100_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+~(A)*B*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*~(C)*E))"),
- .INIT(32'h0008005f))
- _al_u2101 (
- .a(HWDATA[15]),
- .b(K66iu6),
- .c(Kwfiu6),
- .d(_al_u2100_o),
- .e(Yxrpw6),
- .o(_al_u2101_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2102 (
- .a(_al_u2025_o),
- .b(_al_u1062_o),
- .o(_al_u2102_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2103 (
- .a(_al_u2101_o),
- .b(_al_u1061_o),
- .c(_al_u2102_o),
- .o(W1phu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u2104 (
- .a(_al_u1781_o),
- .b(_al_u1225_o),
- .c(P0kax6),
- .d(Skjax6),
- .o(_al_u2104_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*~A))"),
- .INIT(8'h0e))
- _al_u2105 (
- .a(_al_u2104_o),
- .b(_al_u1816_o),
- .c(Sojax6),
- .o(_al_u2105_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2106 (
- .a(P0kax6),
- .b(W4jax6),
- .o(_al_u2106_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*(D@C))"),
- .INIT(16'h0880))
- _al_u2107 (
- .a(Yo1ju6),
- .b(_al_u1817_o),
- .c(_al_u2106_o),
- .d(N4kax6),
- .o(_al_u2107_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~A*~(~E*~D*B)))"),
- .INIT(32'ha0a0a0e0))
- _al_u2108 (
- .a(_al_u1812_o),
- .b(Y0jiu6),
- .c(_al_u1085_o),
- .d(Daiax6),
- .e(Vzupw6),
- .o(_al_u2108_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*~A)"),
- .INIT(16'h0100))
- _al_u2109 (
- .a(_al_u2105_o),
- .b(_al_u2107_o),
- .c(_al_u2108_o),
- .d(Do1ju6),
- .o(_al_u2109_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u211 (
- .a(V6now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[14]),
- .d(vis_r2_o[14]),
- .o(_al_u211_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~A*~(E*D*B)))"),
- .INIT(32'he0a0a0a0))
- _al_u2110 (
- .a(_al_u1759_o),
- .b(Ls1ju6),
- .c(_al_u121_o),
- .d(Jf6ju6),
- .e(R3vpw6),
- .o(_al_u2110_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~D*B*A))"),
- .INIT(16'hf070))
- _al_u2111 (
- .a(_al_u1672_o),
- .b(_al_u158_o),
- .c(HREADY),
- .d(Ufopw6),
- .o(_al_u2111_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*C*B))"),
- .INIT(16'haa2a))
- _al_u2112 (
- .a(_al_u2111_o),
- .b(_al_u1685_o),
- .c(_al_u1097_o),
- .d(Vzupw6),
- .o(_al_u2112_o));
- AL_MAP_LUT5 #(
- .EQN("(D*C*~B*~(~E*A))"),
- .INIT(32'h30001000))
- _al_u2113 (
- .a(_al_u1816_o),
- .b(_al_u2110_o),
- .c(Ez1ju6),
- .d(_al_u2112_o),
- .e(Skjax6),
- .o(_al_u2113_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(~D*C))"),
- .INIT(16'h8808))
- _al_u2114 (
- .a(_al_u2109_o),
- .b(_al_u2113_o),
- .c(_al_u2104_o),
- .d(_al_u1226_o),
- .o(_al_u2114_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~A*~(~C*~B))"),
- .INIT(16'h0054))
- _al_u2115 (
- .a(_al_u1684_o),
- .b(Mmjiu6_lutinv),
- .c(Ae0iu6_lutinv),
- .d(Hirpw6),
- .o(_al_u2115_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u2116 (
- .a(_al_u2115_o),
- .b(_al_u1685_o),
- .c(_al_u1095_o),
- .o(_al_u2116_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~C)*~(~B*A))"),
- .INIT(16'hddd0))
- _al_u2117 (
- .a(_al_u2114_o),
- .b(_al_u2116_o),
- .c(HREADY),
- .d(Fpnpw6),
- .o(Iuohu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u2118 (
- .a(_al_u1083_o),
- .b(HREADY),
- .o(_al_u2118_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~C)*~(~B*A))"),
- .INIT(16'hd0dd))
- _al_u2119 (
- .a(_al_u121_o),
- .b(P5vpw6),
- .c(R3vpw6),
- .d(T1vpw6),
- .o(_al_u2119_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u212 (
- .a(U9now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r5_o[14]),
- .d(vis_r0_o[14]),
- .o(_al_u212_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*~B))"),
- .INIT(8'h8a))
- _al_u2120 (
- .a(_al_u2118_o),
- .b(_al_u2119_o),
- .c(Vygax6),
- .o(T41ju6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~(D*C)*~(E*B)))"),
- .INIT(32'ha888a000))
- _al_u2121 (
- .a(_al_u156_o),
- .b(Edapw6_lutinv),
- .c(_al_u1097_o),
- .d(Aujpw6),
- .e(Hirpw6),
- .o(_al_u2121_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*C))"),
- .INIT(16'h0111))
- _al_u2122 (
- .a(_al_u1969_o),
- .b(_al_u2121_o),
- .c(Y0jiu6),
- .d(D31ju6),
- .o(_al_u2122_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(B*~(~D*~C)))"),
- .INIT(16'h1115))
- _al_u2123 (
- .a(_al_u1672_o),
- .b(_al_u400_o),
- .c(_al_u1603_o),
- .d(U9ypw6),
- .o(_al_u2123_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2124 (
- .a(_al_u1685_o),
- .b(Yvjpw6),
- .o(_al_u2124_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u2125 (
- .a(_al_u141_o),
- .b(_al_u386_o),
- .c(Dxvpw6),
- .d(Sojax6),
- .o(_al_u2125_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u2126 (
- .a(_al_u2122_o),
- .b(_al_u2123_o),
- .c(_al_u2124_o),
- .d(_al_u2125_o),
- .o(_al_u2126_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2127 (
- .a(Yljiu6),
- .b(Vzupw6),
- .o(N3ziu6));
- AL_MAP_LUT5 #(
- .EQN("(~(~D*~(~C*~B))*~(E*~A))"),
- .INIT(32'haa02ff03))
- _al_u2128 (
- .a(_al_u1221_o),
- .b(N3ziu6),
- .c(_al_u398_o),
- .d(Hirpw6),
- .e(Ydopw6),
- .o(_al_u2128_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*~(~C*~B)))"),
- .INIT(16'haa02))
- _al_u2129 (
- .a(_al_u2128_o),
- .b(_al_u1685_o),
- .c(_al_u394_o),
- .d(R3vpw6),
- .o(_al_u2129_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u213 (
- .a(_al_u209_o),
- .b(_al_u210_o),
- .c(_al_u211_o),
- .d(_al_u212_o),
- .o(Ua0iu6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*~C))"),
- .INIT(16'h8088))
- _al_u2130 (
- .a(_al_u2126_o),
- .b(_al_u2129_o),
- .c(_al_u956_o),
- .d(N3ziu6),
- .o(_al_u2130_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~B*~(C*A)))"),
- .INIT(16'h00ec))
- _al_u2131 (
- .a(_al_u1095_o),
- .b(_al_u1582_o),
- .c(Vzupw6),
- .d(Ydopw6),
- .o(_al_u2131_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u2132 (
- .a(_al_u2131_o),
- .b(_al_u690_o),
- .c(Aujpw6),
- .d(Daiax6),
- .o(_al_u2132_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*~C))"),
- .INIT(16'h8088))
- _al_u2133 (
- .a(T41ju6),
- .b(_al_u2130_o),
- .c(_al_u2132_o),
- .d(Yvjpw6),
- .o(_al_u2133_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~B*~(~C*A)))"),
- .INIT(16'hce00))
- _al_u2134 (
- .a(Qxoiu6),
- .b(_al_u2002_o),
- .c(P14qw6),
- .d(Ydopw6),
- .o(_al_u2134_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~A*~(D*B))"),
- .INIT(16'h0105))
- _al_u2135 (
- .a(_al_u2134_o),
- .b(_al_u718_o),
- .c(_al_u1509_o),
- .d(_al_u1817_o),
- .o(_al_u2135_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(C)*~((D*B))*~(E)+A*~(C)*~((D*B))*~(E)+~(A)*C*~((D*B))*~(E)+A*C*~((D*B))*~(E)+~(A)*C*(D*B)*~(E)+A*C*(D*B)*~(E)+~(A)*~(C)*~((D*B))*E)"),
- .INIT(32'h0105f3ff))
- _al_u2136 (
- .a(_al_u1230_o),
- .b(N4kax6),
- .c(P0kax6),
- .d(Rwjax6),
- .e(Ssjax6),
- .o(Qz0ju6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'hbfbff7f6))
- _al_u2137 (
- .a(N4kax6),
- .b(P0kax6),
- .c(Rwjax6),
- .d(Ssjax6),
- .e(W4jax6),
- .o(_al_u2137_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(A*~(D*~(C*B))))"),
- .INIT(32'h7f550000))
- _al_u2138 (
- .a(_al_u2135_o),
- .b(Qz0ju6),
- .c(_al_u2137_o),
- .d(_al_u400_o),
- .e(Dxvpw6),
- .o(_al_u2138_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u2139 (
- .a(Jgxpw6),
- .b(W4jax6),
- .o(Qs0ju6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u214 (
- .a(Ua0iu6),
- .b(Xuzhu6),
- .o(Mifpw6[14]));
- AL_MAP_LUT4 #(
- .EQN("~(~C*~((B*A))*~(D)+~C*(B*A)*~(D)+~(~C)*(B*A)*D+~C*(B*A)*D)"),
- .INIT(16'h77f0))
- _al_u2140 (
- .a(Wh0ju6),
- .b(Qs0ju6_lutinv),
- .c(P0kax6),
- .d(Sojax6),
- .o(_al_u2140_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u2141 (
- .a(Dxvpw6),
- .b(P14qw6),
- .o(_al_u2141_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*~B))"),
- .INIT(8'ha8))
- _al_u2142 (
- .a(_al_u2141_o),
- .b(P0kax6),
- .c(W4jax6),
- .o(_al_u2142_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*~A)"),
- .INIT(16'h4000))
- _al_u2143 (
- .a(_al_u2140_o),
- .b(_al_u2142_o),
- .c(Qxoiu6),
- .d(_al_u1774_o),
- .o(_al_u2143_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*~C))"),
- .INIT(16'h2022))
- _al_u2144 (
- .a(_al_u2133_o),
- .b(_al_u2138_o),
- .c(_al_u2143_o),
- .d(J9kiu6_lutinv),
- .o(_al_u2144_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u2145 (
- .a(_al_u1684_o),
- .b(_al_u394_o),
- .o(I30ju6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2146 (
- .a(_al_u1087_o),
- .b(Fnnpw6),
- .c(SLEEPHOLDACKn),
- .o(_al_u2146_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(C*B*~A))"),
- .INIT(16'hbf00))
- _al_u2147 (
- .a(Fnnpw6),
- .b(G0zax6),
- .c(vis_pc_o[2]),
- .d(Yvjpw6),
- .o(_al_u2147_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(~D*~(~C*A)))"),
- .INIT(16'h3302))
- _al_u2148 (
- .a(_al_u2146_o),
- .b(_al_u2147_o),
- .c(Ae0iu6_lutinv),
- .d(Ufopw6),
- .o(_al_u2148_o));
- AL_MAP_LUT5 #(
- .EQN("(~(~E*~D)*~(A*~(~C*B)))"),
- .INIT(32'h5d5d5d00))
- _al_u2149 (
- .a(_al_u2144_o),
- .b(I30ju6_lutinv),
- .c(_al_u2148_o),
- .d(HREADY),
- .e(Yvjpw6),
- .o(Twohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u215 (
- .a(C7now6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r6_o[15]),
- .d(vis_r0_o[15]),
- .o(_al_u215_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0cf5))
- _al_u2150 (
- .a(_al_u956_o),
- .b(R3vpw6),
- .c(Vzupw6),
- .d(Xxupw6),
- .o(_al_u2150_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~B*~(D*~A)))"),
- .INIT(16'hd0c0))
- _al_u2151 (
- .a(Eoyiu6_lutinv),
- .b(_al_u2150_o),
- .c(_al_u1094_o),
- .d(_al_u638_o),
- .o(_al_u2151_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u2152 (
- .a(_al_u956_o),
- .b(_al_u388_o),
- .c(_al_u670_o),
- .o(_al_u2152_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*~(~B*A))"),
- .INIT(32'hd0000000))
- _al_u2153 (
- .a(_al_u2146_o),
- .b(Ae0iu6_lutinv),
- .c(_al_u394_o),
- .d(Ldoiu6_lutinv),
- .e(R3vpw6),
- .o(_al_u2153_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2154 (
- .a(Ujjiu6),
- .b(_al_u704_o),
- .o(_al_u2154_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u2155 (
- .a(T41ju6),
- .b(_al_u2152_o),
- .c(_al_u2153_o),
- .d(_al_u2154_o),
- .o(_al_u2155_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2156 (
- .a(_al_u145_o),
- .b(D1piu6_lutinv),
- .c(Xxupw6),
- .o(_al_u2156_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~A*~(C*B)))"),
- .INIT(16'h00ea))
- _al_u2157 (
- .a(_al_u2156_o),
- .b(D6kiu6_lutinv),
- .c(Sy2ju6),
- .d(R3vpw6),
- .o(_al_u2157_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*~(~B*~(~D*C))))"),
- .INIT(32'h11015555))
- _al_u2158 (
- .a(_al_u2157_o),
- .b(_al_u1060_o),
- .c(Yljiu6),
- .d(Yp8iu6),
- .e(T1vpw6),
- .o(_al_u2158_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u2159 (
- .a(J1ziu6),
- .b(_al_u2002_o),
- .c(Dxvpw6),
- .d(Ydopw6),
- .o(_al_u2159_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u216 (
- .a(N9now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r5_o[15]),
- .d(vis_r1_o[15]),
- .o(_al_u216_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2160 (
- .a(_al_u121_o),
- .b(_al_u156_o),
- .c(_al_u1445_o),
- .o(_al_u2160_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*~C*B))"),
- .INIT(16'h5551))
- _al_u2161 (
- .a(_al_u2160_o),
- .b(T23ju6_lutinv),
- .c(Ydopw6),
- .d(Yvjpw6),
- .o(_al_u2161_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C*A))"),
- .INIT(8'h13))
- _al_u2162 (
- .a(Nbkiu6_lutinv),
- .b(_al_u1223_o),
- .c(Ssjax6),
- .o(_al_u2162_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~C*(~A*~(B)*~(E)+~A*B*~(E)+~(~A)*B*E+~A*B*E))"),
- .INIT(32'h000c0005))
- _al_u2163 (
- .a(_al_u2162_o),
- .b(_al_u718_o),
- .c(_al_u1087_o),
- .d(Sojax6),
- .e(U9ypw6),
- .o(_al_u2163_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*C*A*~(E*~B))"),
- .INIT(32'h008000a0))
- _al_u2164 (
- .a(_al_u2158_o),
- .b(_al_u2159_o),
- .c(_al_u2161_o),
- .d(_al_u2163_o),
- .e(W0piu6_lutinv),
- .o(_al_u2164_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u2165 (
- .a(_al_u155_o),
- .b(D1piu6_lutinv),
- .c(Aujpw6),
- .o(_al_u2165_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~B*~(D*C)))"),
- .INIT(16'ha888))
- _al_u2166 (
- .a(_al_u1684_o),
- .b(_al_u2165_o),
- .c(N3ziu6),
- .d(Jf6ju6),
- .o(_al_u2166_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*~A)"),
- .INIT(16'h0040))
- _al_u2167 (
- .a(_al_u2151_o),
- .b(_al_u2155_o),
- .c(_al_u2164_o),
- .d(_al_u2166_o),
- .o(_al_u2167_o));
- AL_MAP_LUT5 #(
- .EQN("~((~D*C*A)*~(B)*~(E)+(~D*C*A)*B*~(E)+~((~D*C*A))*B*E+(~D*C*A)*B*E)"),
- .INIT(32'h3333ff5f))
- _al_u2168 (
- .a(Frziu6_lutinv),
- .b(Hgrpw6),
- .c(Ufopw6),
- .d(Xxupw6),
- .e(Ydopw6),
- .o(_al_u2168_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*C*A))"),
- .INIT(16'h4ccc))
- _al_u2169 (
- .a(_al_u718_o),
- .b(_al_u2168_o),
- .c(_al_u1228_o),
- .d(Dxvpw6),
- .o(_al_u2169_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u217 (
- .a(Panow6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[15]),
- .d(vis_r3_o[15]),
- .o(Bo8pw6));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*~A)"),
- .INIT(16'h0040))
- _al_u2170 (
- .a(Qs0ju6_lutinv),
- .b(Jckax6),
- .c(N4kax6),
- .d(Ssjax6),
- .o(_al_u2170_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*~B))"),
- .INIT(16'h8aaa))
- _al_u2171 (
- .a(_al_u2169_o),
- .b(_al_u2170_o),
- .c(_al_u1603_o),
- .d(J9kiu6_lutinv),
- .o(_al_u2171_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*~((C*~A))*~(D)+~B*(C*~A)*~(D)+~(~B)*(C*~A)*D+~B*(C*~A)*D)"),
- .INIT(16'hafcc))
- _al_u2172 (
- .a(_al_u1225_o),
- .b(Dxvpw6),
- .c(Skjax6),
- .d(U9ypw6),
- .o(_al_u2172_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~C*~(A)*~(D)+~C*A*~(D)+~(~C)*A*D+~C*A*D))"),
- .INIT(16'h2203))
- _al_u2173 (
- .a(N4kax6),
- .b(P0kax6),
- .c(Sojax6),
- .d(W4jax6),
- .o(_al_u2173_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(D*~B)))"),
- .INIT(16'h7050))
- _al_u2174 (
- .a(_al_u2172_o),
- .b(_al_u2173_o),
- .c(_al_u386_o),
- .d(Y40ju6),
- .o(_al_u2174_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf3ee))
- _al_u2175 (
- .a(_al_u1225_o),
- .b(Dxvpw6),
- .c(P0kax6),
- .d(U9ypw6),
- .o(_al_u2175_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*~C))"),
- .INIT(16'h2022))
- _al_u2176 (
- .a(_al_u2171_o),
- .b(_al_u2174_o),
- .c(_al_u2175_o),
- .d(_al_u1592_o),
- .o(_al_u2176_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(B)*~(C)*~(E)+A*~(B)*~(C)*~(E)+A*B*~(C)*~(E)+~(A)*~(B)*C*~(E)+A*~(B)*C*~(E)+~(A)*B*C*~(E)+A*B*C*~(E)+~(A)*~(B)*~(C)*E+~(A)*B*~(C)*E+A*B*~(C)*E+A*B*C*E))"),
- .INIT(32'h008d00fb))
- _al_u2177 (
- .a(N4kax6),
- .b(P0kax6),
- .c(Rwjax6),
- .d(U9ypw6),
- .e(W4jax6),
- .o(Ph9ow6));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(A*~(B*~(~E*~C))))"),
- .INIT(32'h00dd00d5))
- _al_u2178 (
- .a(_al_u2176_o),
- .b(_al_u1237_o),
- .c(Ph9ow6),
- .d(_al_u1087_o),
- .e(_al_u1603_o),
- .o(_al_u2178_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(D*C*~A))"),
- .INIT(16'h2333))
- _al_u2179 (
- .a(_al_u1684_o),
- .b(_al_u156_o),
- .c(Yljiu6),
- .d(Yvjpw6),
- .o(_al_u2179_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u218 (
- .a(V6now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r4_o[15]),
- .d(vis_r2_o[15]),
- .o(_al_u218_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~A*~(~C*~B))"),
- .INIT(16'h5400))
- _al_u2180 (
- .a(_al_u2179_o),
- .b(_al_u1095_o),
- .c(Difiu6),
- .d(Vzupw6),
- .o(_al_u2180_o));
- AL_MAP_LUT5 #(
- .EQN("(~(~E*~D)*~(~C*~B*A))"),
- .INIT(32'hfdfdfd00))
- _al_u2181 (
- .a(_al_u2167_o),
- .b(_al_u2178_o),
- .c(_al_u2180_o),
- .d(HREADY),
- .e(Vzupw6),
- .o(Jrohu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u2182 (
- .a(_al_u1891_o),
- .b(vis_ipsr_o[0]),
- .c(vis_ipsr_o[1]),
- .o(Hcgiu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2183 (
- .a(_al_u2102_o),
- .b(Hcgiu6_lutinv),
- .c(Oa5bx6),
- .d(Od4bx6),
- .o(_al_u2183_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2184 (
- .a(Ajgiu6),
- .b(Rhgiu6),
- .c(Elnpw6),
- .d(Gz6ax6),
- .o(Nzapw6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u2185 (
- .a(_al_u1886_o),
- .b(vis_ipsr_o[0]),
- .c(vis_ipsr_o[1]),
- .d(Vkzax6),
- .o(_al_u2185_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u2186 (
- .a(_al_u2185_o),
- .b(_al_u1926_o),
- .c(_al_u1909_o),
- .d(Qjyax6),
- .o(_al_u2186_o));
- AL_MAP_LUT5 #(
- .EQN("(B*A*(C*~(E)*~(D)+C*E*~(D)+~(C)*E*D+C*E*D))"),
- .INIT(32'h88800080))
- _al_u2187 (
- .a(_al_u2039_o),
- .b(vis_ipsr_o[0]),
- .c(L8zax6),
- .d(vis_ipsr_o[1]),
- .e(Rezax6),
- .o(_al_u2187_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u2188 (
- .a(_al_u2183_o),
- .b(Nzapw6),
- .c(_al_u2186_o),
- .d(_al_u2187_o),
- .o(_al_u2188_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u2189 (
- .a(_al_u2039_o),
- .b(vis_ipsr_o[0]),
- .c(vis_ipsr_o[1]),
- .o(_al_u2189_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u219 (
- .a(_al_u215_o),
- .b(_al_u216_o),
- .c(Bo8pw6),
- .d(_al_u218_o),
- .o(Na0iu6));
- AL_MAP_LUT5 #(
- .EQN("(~(E*C*B)*~(D*A))"),
- .INIT(32'h153f55ff))
- _al_u2190 (
- .a(_al_u2189_o),
- .b(_al_u1926_o),
- .c(_al_u1062_o),
- .d(Nazax6),
- .e(Wpyax6),
- .o(_al_u2190_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2191 (
- .a(_al_u1926_o),
- .b(Ukbpw6_lutinv),
- .c(Mfyax6),
- .o(_al_u2191_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*C))"),
- .INIT(16'h0222))
- _al_u2192 (
- .a(_al_u2190_o),
- .b(_al_u2191_o),
- .c(Hwhiu6_lutinv),
- .d(H4zax6),
- .o(_al_u2192_o));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hccb8))
- _al_u2193 (
- .a(C14bx6),
- .b(vis_ipsr_o[1]),
- .c(Wu3bx6),
- .d(vis_ipsr_o[0]),
- .o(_al_u2193_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h350f))
- _al_u2194 (
- .a(Az3bx6),
- .b(G54bx6),
- .c(_al_u2193_o),
- .d(vis_ipsr_o[0]),
- .o(_al_u2194_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*~C))"),
- .INIT(16'h8088))
- _al_u2195 (
- .a(_al_u2188_o),
- .b(_al_u2192_o),
- .c(_al_u2194_o),
- .d(_al_u1935_o),
- .o(_al_u2195_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2196 (
- .a(_al_u2026_o),
- .b(_al_u2030_o),
- .c(E05bx6),
- .d(I45bx6),
- .o(_al_u2196_o));
- AL_MAP_LUT5 #(
- .EQN("(B*A*(E*~(D)*~(C)+E*D*~(C)+~(E)*D*C+E*D*C))"),
- .INIT(32'h88088000))
- _al_u2197 (
- .a(_al_u1886_o),
- .b(vis_ipsr_o[0]),
- .c(vis_ipsr_o[1]),
- .d(Tgzax6),
- .e(Wmzax6),
- .o(_al_u2197_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(E*B)*~(D*A))"),
- .INIT(32'h0103050f))
- _al_u2198 (
- .a(R3giu6),
- .b(Eegiu6_lutinv),
- .c(_al_u2197_o),
- .d(K65bx6),
- .e(Mb4bx6),
- .o(_al_u2198_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u2199 (
- .a(_al_u1904_o),
- .b(vis_ipsr_o[0]),
- .c(vis_ipsr_o[1]),
- .o(G9fiu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u22 (
- .a(Iqzhu6_lutinv),
- .b(Va7ax6),
- .o(S54iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u220 (
- .a(Na0iu6),
- .b(Xuzhu6),
- .o(Mifpw6[15]));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2200 (
- .a(_al_u1891_o),
- .b(_al_u1062_o),
- .c(Sh4bx6),
- .o(_al_u2200_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*B*A*~(E*C))"),
- .INIT(32'h00080088))
- _al_u2201 (
- .a(_al_u2196_o),
- .b(_al_u2198_o),
- .c(G9fiu6_lutinv),
- .d(_al_u2200_o),
- .e(Auyax6),
- .o(_al_u2201_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2202 (
- .a(U5cpw6),
- .b(vis_ipsr_o[1]),
- .o(_al_u2202_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h8a80))
- _al_u2203 (
- .a(_al_u2202_o),
- .b(Cy4bx6),
- .c(vis_ipsr_o[0]),
- .d(Yt4bx6),
- .o(_al_u2203_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2204 (
- .a(_al_u2203_o),
- .b(_al_u1887_o),
- .c(Yqzax6),
- .o(_al_u2204_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u2205 (
- .a(H9row6_lutinv),
- .b(_al_u1062_o),
- .c(vis_ipsr_o[2]),
- .d(vis_ipsr_o[3]),
- .o(Qrgiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2206 (
- .a(Bggiu6_lutinv),
- .b(Qrgiu6),
- .c(I74bx6),
- .d(Uj4bx6),
- .o(_al_u2206_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*A*(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B))"),
- .INIT(32'h0a080200))
- _al_u2207 (
- .a(U5cpw6),
- .b(vis_ipsr_o[0]),
- .c(vis_ipsr_o[1]),
- .d(Sn4bx6),
- .e(Wr4bx6),
- .o(_al_u2207_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h3210))
- _al_u2208 (
- .a(vis_ipsr_o[0]),
- .b(vis_ipsr_o[1]),
- .c(S3mpw6),
- .d(Yryax6),
- .o(_al_u2208_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*C))"),
- .INIT(16'h0222))
- _al_u2209 (
- .a(_al_u2206_o),
- .b(_al_u2207_o),
- .c(_al_u1904_o),
- .d(_al_u2208_o),
- .o(_al_u2209_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u221 (
- .a(Panow6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r3_o[16]),
- .d(vis_r7_o[16]),
- .o(Je8pw6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u2210 (
- .a(_al_u1926_o),
- .b(vis_ipsr_o[0]),
- .c(vis_ipsr_o[1]),
- .d(Slyax6),
- .o(_al_u2210_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u2211 (
- .a(_al_u2210_o),
- .b(_al_u1904_o),
- .c(_al_u1062_o),
- .d(Eyyax6),
- .o(_al_u2211_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u2212 (
- .a(_al_u2195_o),
- .b(_al_u2201_o),
- .c(_al_u2204_o),
- .d(_al_u2209_o),
- .e(_al_u2211_o),
- .o(Sbrow6));
- AL_MAP_LUT4 #(
- .EQN("(A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
- .INIT(16'h8a80))
- _al_u2213 (
- .a(_al_u2202_o),
- .b(Aw4bx6),
- .c(vis_ipsr_o[0]),
- .d(Hbgbx6),
- .o(_al_u2213_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2214 (
- .a(Odfiu6_lutinv),
- .b(G9fiu6_lutinv),
- .c(Bcabx6),
- .d(Tngbx6),
- .o(_al_u2214_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u2215 (
- .a(_al_u2213_o),
- .b(_al_u2214_o),
- .c(Bggiu6_lutinv),
- .d(Z9abx6),
- .o(_al_u2215_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u2216 (
- .a(_al_u1886_o),
- .b(C5gbx6),
- .c(vis_ipsr_o[0]),
- .d(vis_ipsr_o[1]),
- .o(_al_u2216_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*B)*~(E*A))"),
- .INIT(32'h0105030f))
- _al_u2217 (
- .a(_al_u2102_o),
- .b(_al_u2040_o),
- .c(_al_u2216_o),
- .d(J6zax6),
- .e(M85bx6),
- .o(_al_u2217_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u2218 (
- .a(_al_u1926_o),
- .b(vis_ipsr_o[0]),
- .c(Lfgbx6),
- .d(vis_ipsr_o[1]),
- .o(_al_u2218_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2219 (
- .a(_al_u1886_o),
- .b(_al_u1062_o),
- .c(Uizax6),
- .o(_al_u2219_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u222 (
- .a(C7now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r5_o[16]),
- .d(vis_r6_o[16]),
- .o(_al_u222_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u2220 (
- .a(_al_u2215_o),
- .b(_al_u2217_o),
- .c(_al_u2218_o),
- .d(_al_u2219_o),
- .o(_al_u2220_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2221 (
- .a(Eegiu6_lutinv),
- .b(Hcgiu6_lutinv),
- .c(K94bx6),
- .d(Rlgbx6),
- .o(Cpbpw6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2222 (
- .a(_al_u1891_o),
- .b(_al_u1062_o),
- .c(Qf4bx6),
- .o(_al_u2222_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(D*B))"),
- .INIT(16'h020a))
- _al_u2223 (
- .a(Cpbpw6),
- .b(R3giu6),
- .c(_al_u2222_o),
- .d(Pjgbx6),
- .o(_al_u2223_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2224 (
- .a(_al_u1926_o),
- .b(_al_u1909_o),
- .c(Ohyax6),
- .o(_al_u2224_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2225 (
- .a(_al_u1926_o),
- .b(Ukbpw6_lutinv),
- .c(T3abx6),
- .o(_al_u2225_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2226 (
- .a(_al_u1926_o),
- .b(_al_u1062_o),
- .c(Unyax6),
- .o(_al_u2226_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u2227 (
- .a(_al_u2223_o),
- .b(_al_u2224_o),
- .c(_al_u2225_o),
- .d(_al_u2226_o),
- .o(_al_u2227_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2228 (
- .a(_al_u2039_o),
- .b(_al_u1062_o),
- .c(Pczax6),
- .o(_al_u2228_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(D*C)*~(E*A))"),
- .INIT(32'h01110333))
- _al_u2229 (
- .a(_al_u2026_o),
- .b(_al_u2228_o),
- .c(Qrgiu6),
- .d(Tl4bx6),
- .e(X7abx6),
- .o(_al_u2229_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u223 (
- .a(V6now6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r0_o[16]),
- .d(vis_r2_o[16]),
- .o(_al_u223_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*A*(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B))"),
- .INIT(32'h0a080200))
- _al_u2230 (
- .a(U5cpw6),
- .b(vis_ipsr_o[0]),
- .c(vis_ipsr_o[1]),
- .d(Pz9bx6),
- .e(Up4bx6),
- .o(_al_u2230_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2231 (
- .a(_al_u1904_o),
- .b(_al_u1062_o),
- .c(Cwyax6),
- .o(_al_u2231_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2232 (
- .a(_al_u1886_o),
- .b(_al_u1909_o),
- .c(Xozax6),
- .o(_al_u2232_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2233 (
- .a(_al_u1935_o),
- .b(_al_u1909_o),
- .c(Yw3bx6),
- .o(_al_u2233_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u2234 (
- .a(_al_u2230_o),
- .b(_al_u2231_o),
- .c(_al_u2232_o),
- .d(_al_u2233_o),
- .o(_al_u2234_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u2235 (
- .a(_al_u2229_o),
- .b(_al_u2234_o),
- .c(_al_u2030_o),
- .d(G25bx6),
- .o(_al_u2235_o));
- AL_MAP_LUT4 #(
- .EQN("(D*(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B))"),
- .INIT(16'hb800))
- _al_u2236 (
- .a(E34bx6),
- .b(vis_ipsr_o[0]),
- .c(Jdgbx6),
- .d(vis_ipsr_o[1]),
- .o(_al_u2236_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~B*~(D*C)))"),
- .INIT(16'ha888))
- _al_u2237 (
- .a(_al_u1935_o),
- .b(_al_u2236_o),
- .c(Ukbpw6_lutinv),
- .d(R1abx6),
- .o(_al_u2237_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*C)*~(D*B))"),
- .INIT(32'h01051155))
- _al_u2238 (
- .a(_al_u2237_o),
- .b(_al_u2189_o),
- .c(Hwhiu6_lutinv),
- .d(Nhgbx6),
- .e(V5abx6),
- .o(_al_u2238_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2239 (
- .a(Ajgiu6),
- .b(Rhgiu6),
- .c(Vpgbx6),
- .d(Wgipw6),
- .o(Vhbpw6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u224 (
- .a(N9now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r1_o[16]),
- .d(vis_r4_o[16]),
- .o(_al_u224_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2240 (
- .a(_al_u1904_o),
- .b(_al_u1909_o),
- .c(Vbspw6),
- .o(_al_u2240_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*B*A*~(E*C))"),
- .INIT(32'h00080088))
- _al_u2241 (
- .a(_al_u2238_o),
- .b(Vhbpw6),
- .c(_al_u1887_o),
- .d(_al_u2240_o),
- .e(Nv9bx6),
- .o(_al_u2241_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2242 (
- .a(_al_u2220_o),
- .b(_al_u2227_o),
- .c(_al_u2235_o),
- .d(_al_u2241_o),
- .o(_al_u2242_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u2243 (
- .a(Ajgiu6),
- .b(Rhgiu6),
- .c(_al_u1925_o),
- .o(_al_u2243_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(~(B)*~(C)*~(D)+B*C*~(D)+B*C*D))"),
- .INIT(16'h8082))
- _al_u2244 (
- .a(_al_u2243_o),
- .b(vis_ipsr_o[4]),
- .c(vis_ipsr_o[5]),
- .d(Qrgiu6),
- .o(_al_u2244_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*(A*~((~D*~B))*~(E)+~(A)*~((~D*~B))*E+A*~((~D*~B))*E+A*(~D*~B)*E))"),
- .INIT(32'h0f0e0a08))
- _al_u2245 (
- .a(Sbrow6),
- .b(_al_u2242_o),
- .c(_al_u2244_o),
- .d(Tl4bx6),
- .e(Uj4bx6),
- .o(_al_u2245_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u2246 (
- .a(_al_u2245_o),
- .b(B6cpw6),
- .c(vis_primask_o),
- .o(P0biu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u2247 (
- .a(Iugiu6),
- .b(_al_u142_o),
- .c(Ae0iu6_lutinv),
- .d(R3vpw6),
- .o(_al_u2247_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u2248 (
- .a(Pthiu6),
- .b(Yljiu6),
- .c(R3vpw6),
- .d(Xxupw6),
- .o(_al_u2248_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2249 (
- .a(K5eiu6),
- .b(R9yax6),
- .c(W5ypw6),
- .d(Ztupw6),
- .o(Xrgiu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u225 (
- .a(Je8pw6),
- .b(_al_u222_o),
- .c(_al_u223_o),
- .d(_al_u224_o),
- .o(Ga0iu6));
- AL_MAP_LUT5 #(
- .EQN("(~(~(~D*~C)*B)*~(E*A))"),
- .INIT(32'h1115333f))
- _al_u2250 (
- .a(Fsdiu6),
- .b(P0biu6),
- .c(_al_u2247_o),
- .d(_al_u2248_o),
- .e(Xrgiu6),
- .o(Qh5iu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u2251 (
- .a(Xrgiu6),
- .b(C1wpw6),
- .c(F17ax6),
- .o(_al_u2251_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~(C*~(D*B)))"),
- .INIT(16'h75f5))
- _al_u2252 (
- .a(Qh5iu6),
- .b(_al_u1061_o),
- .c(_al_u2251_o),
- .d(Qrgiu6),
- .o(Rjthu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2253 (
- .a(_al_u2091_o),
- .b(Qkniu6_lutinv),
- .o(Miniu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2254 (
- .a(Ckniu6),
- .b(Miniu6_lutinv),
- .o(Ztmiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u2255 (
- .a(_al_u2095_o),
- .b(_al_u2097_o),
- .o(Finiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2256 (
- .a(Miniu6_lutinv),
- .b(Finiu6),
- .o(Jsmiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2257 (
- .a(_al_u2091_o),
- .b(Qkniu6_lutinv),
- .o(Vjniu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2258 (
- .a(Finiu6),
- .b(Vjniu6_lutinv),
- .o(Qsmiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2259 (
- .a(Ckniu6),
- .b(Vjniu6_lutinv),
- .o(Gumiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u226 (
- .a(Ga0iu6),
- .b(Xuzhu6),
- .o(Mifpw6[16]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u2260 (
- .a(_al_u2091_o),
- .b(Qkniu6_lutinv),
- .o(Rhniu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2261 (
- .a(Ckniu6),
- .b(Rhniu6_lutinv),
- .o(Ltmiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2262 (
- .a(_al_u2095_o),
- .b(_al_u2097_o),
- .o(Jkniu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2263 (
- .a(Miniu6_lutinv),
- .b(Jkniu6_lutinv),
- .o(n1580));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2264 (
- .a(Dhniu6_lutinv),
- .b(Jkniu6_lutinv),
- .o(n1577));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2265 (
- .a(Finiu6),
- .b(Rhniu6_lutinv),
- .o(_al_u2265_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2266 (
- .a(_al_u2265_o),
- .b(Jrypw6),
- .o(Ydkiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u2267 (
- .a(_al_u2095_o),
- .b(_al_u2097_o),
- .o(Khniu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2268 (
- .a(Vjniu6_lutinv),
- .b(Khniu6_lutinv),
- .o(Xsmiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2269 (
- .a(Miniu6_lutinv),
- .b(Khniu6_lutinv),
- .o(n1573));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u227 (
- .a(V6now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r2_o[17]),
- .d(vis_r5_o[17]),
- .o(_al_u227_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2270 (
- .a(Rhniu6_lutinv),
- .b(Khniu6_lutinv),
- .o(Csmiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2271 (
- .a(Dhniu6_lutinv),
- .b(Khniu6_lutinv),
- .o(n1571));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u2272 (
- .a(HWDATA[31]),
- .b(HWDATA[29]),
- .c(HWDATA[28]),
- .d(HWDATA[30]),
- .o(_al_u2272_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u2273 (
- .a(HWDATA[19]),
- .b(HWDATA[24]),
- .c(HWDATA[17]),
- .d(HWDATA[18]),
- .o(_al_u2273_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u2274 (
- .a(_al_u2273_o),
- .b(HWDATA[20]),
- .c(HWDATA[21]),
- .d(HWDATA[22]),
- .e(HWDATA[23]),
- .o(_al_u2274_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u2275 (
- .a(HWDATA[26]),
- .b(HWDATA[27]),
- .c(HWDATA[25]),
- .d(HWDATA[16]),
- .o(_al_u2275_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2276 (
- .a(Cznow6),
- .b(K5eiu6),
- .o(_al_u2276_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2277 (
- .a(_al_u2272_o),
- .b(_al_u2274_o),
- .c(_al_u2275_o),
- .d(_al_u2276_o),
- .o(T9qow6));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(B*A))"),
- .INIT(8'hf8))
- _al_u2278 (
- .a(T9qow6),
- .b(G3eiu6),
- .c(SYSRESETREQ),
- .o(Yaohu6));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u2279 (
- .a(I82ju6),
- .b(Vo3ju6_lutinv),
- .c(_al_u1095_o),
- .d(T1vpw6),
- .o(_al_u2279_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u228 (
- .a(N9now6_lutinv),
- .b(C7now6_lutinv),
- .c(vis_r6_o[17]),
- .d(vis_r1_o[17]),
- .o(_al_u228_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*~C*B))"),
- .INIT(16'haaa2))
- _al_u2280 (
- .a(_al_u2279_o),
- .b(_al_u1059_o),
- .c(Daiax6),
- .d(T1vpw6),
- .o(_al_u2280_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D))"),
- .INIT(16'h880a))
- _al_u2281 (
- .a(Ls1ju6),
- .b(Hirpw6),
- .c(T1vpw6),
- .d(Vzupw6),
- .o(_al_u2281_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2282 (
- .a(Y0jiu6),
- .b(S2ziu6_lutinv),
- .c(Owoiu6),
- .o(_al_u2282_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2283 (
- .a(Owoiu6),
- .b(Yljiu6),
- .c(Qyniu6_lutinv),
- .o(_al_u2283_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*C))"),
- .INIT(16'h0111))
- _al_u2284 (
- .a(_al_u2281_o),
- .b(_al_u2282_o),
- .c(_al_u2283_o),
- .d(Vzupw6),
- .o(_al_u2284_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~((E*C)*~(B)*~(D)+(E*C)*B*~(D)+~((E*C))*B*D+(E*C)*B*D))"),
- .INIT(32'h11051155))
- _al_u2285 (
- .a(_al_u1757_o),
- .b(_al_u145_o),
- .c(_al_u398_o),
- .d(Hirpw6),
- .e(Xxupw6),
- .o(_al_u2285_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u2286 (
- .a(Ae0iu6_lutinv),
- .b(_al_u638_o),
- .c(_al_u690_o),
- .o(_al_u2286_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u2287 (
- .a(_al_u2285_o),
- .b(_al_u191_o),
- .c(_al_u2286_o),
- .d(_al_u1749_o),
- .o(_al_u2287_o));
- AL_MAP_LUT5 #(
- .EQN("(D*C*A*~(E*~B))"),
- .INIT(32'h8000a000))
- _al_u2288 (
- .a(Rcziu6),
- .b(_al_u2280_o),
- .c(_al_u2284_o),
- .d(_al_u2287_o),
- .e(Yvjpw6),
- .o(_al_u2288_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u2289 (
- .a(Pthiu6),
- .b(Jf6ju6),
- .c(Yvjpw6),
- .o(_al_u2289_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u229 (
- .a(E8now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[17]),
- .d(vis_r4_o[17]),
- .o(_al_u229_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf53f))
- _al_u2290 (
- .a(Edapw6_lutinv),
- .b(Aujpw6),
- .c(R3vpw6),
- .d(Ufopw6),
- .o(_al_u2290_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(~C*~A))"),
- .INIT(8'hc8))
- _al_u2291 (
- .a(_al_u1445_o),
- .b(Hirpw6),
- .c(T1vpw6),
- .o(_al_u2291_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~((D*B))*~(C)+A*(D*B)*~(C)+~(A)*(D*B)*C+A*(D*B)*C)"),
- .INIT(16'h35f5))
- _al_u2292 (
- .a(_al_u159_o),
- .b(Ufopw6),
- .c(Vzupw6),
- .d(Xxupw6),
- .o(_al_u2292_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*~D*C*B))"),
- .INIT(32'h55155555))
- _al_u2293 (
- .a(_al_u2288_o),
- .b(_al_u2289_o),
- .c(_al_u2290_o),
- .d(_al_u2291_o),
- .e(_al_u2292_o),
- .o(A3iiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2294 (
- .a(_al_u145_o),
- .b(Owoiu6),
- .c(_al_u1445_o),
- .d(P5vpw6),
- .o(_al_u2294_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*~C*B))"),
- .INIT(16'haaa2))
- _al_u2295 (
- .a(_al_u2294_o),
- .b(Fq8iu6),
- .c(P5vpw6),
- .d(Ufopw6),
- .o(_al_u2295_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*A*~(B*~(~D*C)))"),
- .INIT(32'h000022a2))
- _al_u2296 (
- .a(Vo3ju6_lutinv),
- .b(Hirpw6),
- .c(R3vpw6),
- .d(T1vpw6),
- .e(Xxupw6),
- .o(_al_u2296_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(~D*B*~(E*C)))"),
- .INIT(32'h55515511))
- _al_u2297 (
- .a(_al_u2288_o),
- .b(_al_u2295_o),
- .c(Kr7ow6_lutinv),
- .d(_al_u2296_o),
- .e(_al_u1582_o),
- .o(_al_u2297_o));
- AL_MAP_LUT4 #(
- .EQN("~(~(C*B)*~(D*A))"),
- .INIT(16'heac0))
- _al_u2298 (
- .a(A3iiu6),
- .b(_al_u2297_o),
- .c(Go0iu6_lutinv),
- .d(Nxkbx6[1]),
- .o(Ay8iu6));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u2299 (
- .a(I30ju6_lutinv),
- .b(Ae0iu6_lutinv),
- .c(T1vpw6),
- .o(_al_u2299_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u23 (
- .a(Iqzhu6_lutinv),
- .b(Lhbbx6),
- .o(G64iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u230 (
- .a(Panow6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r3_o[17]),
- .d(vis_r0_o[17]),
- .o(_al_u230_o));
- AL_MAP_LUT5 #(
- .EQN("((B*~(~E*D))*~(A)*~(C)+(B*~(~E*D))*A*~(C)+~((B*~(~E*D)))*A*C+(B*~(~E*D))*A*C)"),
- .INIT(32'hacaca0ac))
- _al_u2300 (
- .a(_al_u705_o),
- .b(_al_u394_o),
- .c(R3vpw6),
- .d(T1vpw6),
- .e(Vygax6),
- .o(_al_u2300_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~B*~(~D*A)))"),
- .INIT(16'h0c0e))
- _al_u2301 (
- .a(_al_u2299_o),
- .b(_al_u2300_o),
- .c(Aujpw6),
- .d(Xxupw6),
- .o(_al_u2301_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u2302 (
- .a(J9kiu6_lutinv),
- .b(P0kax6),
- .c(Ssjax6),
- .o(_al_u2302_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~A*~(C*B))"),
- .INIT(16'h1500))
- _al_u2303 (
- .a(_al_u1068_o),
- .b(_al_u2302_o),
- .c(Kxziu6_lutinv),
- .d(HREADY),
- .o(_al_u2303_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~B*~(~D*~A)))"),
- .INIT(16'h0c0d))
- _al_u2304 (
- .a(Daiax6),
- .b(R3vpw6),
- .c(T1vpw6),
- .d(Ufopw6),
- .o(_al_u2304_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*C)*~(B*A))"),
- .INIT(16'h7707))
- _al_u2305 (
- .a(Qe8iu6_lutinv),
- .b(_al_u1625_o),
- .c(_al_u1059_o),
- .d(_al_u2304_o),
- .o(_al_u2305_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u2306 (
- .a(Ufopw6),
- .b(Vygax6),
- .c(Yvjpw6),
- .o(_al_u2306_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*C*~B))"),
- .INIT(16'h5545))
- _al_u2307 (
- .a(_al_u2306_o),
- .b(_al_u638_o),
- .c(Btoiu6_lutinv),
- .d(Ydopw6),
- .o(_al_u2307_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2308 (
- .a(_al_u121_o),
- .b(_al_u1660_o),
- .c(Ufopw6),
- .o(_al_u2308_o));
- AL_MAP_LUT5 #(
- .EQN("(B*A*~(E*~(~D*C)))"),
- .INIT(32'h00808888))
- _al_u2309 (
- .a(_al_u2303_o),
- .b(_al_u2305_o),
- .c(_al_u2307_o),
- .d(_al_u2308_o),
- .e(Llaow6_lutinv),
- .o(_al_u2309_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u231 (
- .a(_al_u227_o),
- .b(_al_u228_o),
- .c(_al_u229_o),
- .d(_al_u230_o),
- .o(Z90iu6));
- AL_MAP_LUT5 #(
- .EQN("(E*C*~(D*B*A))"),
- .INIT(32'h70f00000))
- _al_u2310 (
- .a(_al_u1231_o),
- .b(Nsoiu6_lutinv),
- .c(_al_u394_o),
- .d(U9ypw6),
- .e(Ufopw6),
- .o(_al_u2310_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*B*~(E*D*A))"),
- .INIT(32'h040c0c0c))
- _al_u2311 (
- .a(Eoyiu6_lutinv),
- .b(_al_u2309_o),
- .c(_al_u2310_o),
- .d(_al_u1800_o),
- .e(_al_u638_o),
- .o(_al_u2311_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*~B))"),
- .INIT(16'h80a0))
- _al_u2312 (
- .a(_al_u156_o),
- .b(D31ju6),
- .c(Vzupw6),
- .d(Xxupw6),
- .o(_al_u2312_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2313 (
- .a(_al_u2312_o),
- .b(_al_u1094_o),
- .c(Pthiu6),
- .o(_al_u2313_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*B))"),
- .INIT(8'ha2))
- _al_u2314 (
- .a(_al_u718_o),
- .b(Sojax6),
- .c(U9ypw6),
- .o(_al_u2314_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u2315 (
- .a(_al_u386_o),
- .b(_al_u2002_o),
- .c(Rwjax6),
- .o(_al_u2315_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(B*C*~(D)*~(E)+~(B)*~(C)*D*~(E)+B*~(C)*D*~(E)+~(B)*C*D*~(E)+B*C*D*~(E)+~(B)*~(C)*~(D)*E+~(B)*C*~(D)*E+B*C*~(D)*E+~(B)*~(C)*D*E+~(B)*C*D*E+B*C*D*E))"),
- .INIT(32'ha2a2aa80))
- _al_u2316 (
- .a(_al_u400_o),
- .b(Dxvpw6),
- .c(P14qw6),
- .d(Sojax6),
- .e(U9ypw6),
- .o(_al_u2316_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u2317 (
- .a(_al_u2313_o),
- .b(_al_u2314_o),
- .c(_al_u2315_o),
- .d(_al_u2316_o),
- .o(_al_u2317_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u2318 (
- .a(_al_u956_o),
- .b(N3ziu6),
- .c(_al_u1153_o),
- .o(_al_u2318_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*C))"),
- .INIT(16'h0222))
- _al_u2319 (
- .a(_al_u2317_o),
- .b(_al_u2318_o),
- .c(N3ziu6),
- .d(_al_u145_o),
- .o(_al_u2319_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u232 (
- .a(Z90iu6),
- .b(Xuzhu6),
- .o(Mifpw6[17]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2320 (
- .a(Frziu6_lutinv),
- .b(D1piu6_lutinv),
- .o(_al_u2320_o));
- AL_MAP_LUT5 #(
- .EQN("(B*A*~(C*~(E*~D)))"),
- .INIT(32'h08880808))
- _al_u2321 (
- .a(_al_u1684_o),
- .b(_al_u2320_o),
- .c(R3vpw6),
- .d(Xxupw6),
- .e(Yvjpw6),
- .o(_al_u2321_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~C*B*~A))"),
- .INIT(16'h00fb))
- _al_u2322 (
- .a(_al_u956_o),
- .b(_al_u1580_o),
- .c(Xxupw6),
- .d(Ydopw6),
- .o(_al_u2322_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2323 (
- .a(P0kax6),
- .b(Rwjax6),
- .o(_al_u2323_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D@B))"),
- .INIT(16'h8020))
- _al_u2324 (
- .a(_al_u2323_o),
- .b(Jckax6),
- .c(N4kax6),
- .d(W4jax6),
- .o(Xuyiu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*~C*~B))"),
- .INIT(16'haaa8))
- _al_u2325 (
- .a(_al_u1610_o),
- .b(Dxvpw6),
- .c(P14qw6),
- .d(Sojax6),
- .o(_al_u2325_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*~B))"),
- .INIT(16'h80a0))
- _al_u2326 (
- .a(_al_u1221_o),
- .b(Xuyiu6_lutinv),
- .c(_al_u2325_o),
- .d(_al_u1603_o),
- .o(_al_u2326_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*A))"),
- .INIT(8'h07))
- _al_u2327 (
- .a(N4kax6),
- .b(P0kax6),
- .c(Sojax6),
- .o(_al_u2327_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~B*~A)*~(D)*~(C)+~(~B*~A)*D*~(C)+~(~(~B*~A))*D*C+~(~B*~A)*D*C)"),
- .INIT(16'hfe0e))
- _al_u2328 (
- .a(_al_u2327_o),
- .b(_al_u1225_o),
- .c(P14qw6),
- .d(Skjax6),
- .o(Yl6ow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~A*~(C*B)))"),
- .INIT(16'h00ea))
- _al_u2329 (
- .a(Yl6ow6_lutinv),
- .b(_al_u1228_o),
- .c(Dxvpw6),
- .d(U9ypw6),
- .o(_al_u2329_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u233 (
- .a(V6now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[18]),
- .d(vis_r2_o[18]),
- .o(_al_u233_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2330 (
- .a(Y40ju6),
- .b(P14qw6),
- .c(Sojax6),
- .d(W4jax6),
- .o(_al_u2330_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E)"),
- .INIT(32'h0bbb0b8b))
- _al_u2331 (
- .a(_al_u2330_o),
- .b(N4kax6),
- .c(Skjax6),
- .d(Ssjax6),
- .e(W4jax6),
- .o(_al_u2331_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(~C*B*~(E*~D)))"),
- .INIT(32'h51555151))
- _al_u2332 (
- .a(_al_u2322_o),
- .b(_al_u2326_o),
- .c(_al_u2329_o),
- .d(_al_u2331_o),
- .e(Rwjax6),
- .o(_al_u2332_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~C*~B*A))"),
- .INIT(16'h00fd))
- _al_u2333 (
- .a(_al_u2319_o),
- .b(_al_u2321_o),
- .c(_al_u2332_o),
- .d(Ae0iu6_lutinv),
- .o(_al_u2333_o));
- AL_MAP_LUT5 #(
- .EQN("(~(~E*~D)*~(~C*B*~A))"),
- .INIT(32'hfbfbfb00))
- _al_u2334 (
- .a(_al_u2301_o),
- .b(_al_u2311_o),
- .c(_al_u2333_o),
- .d(HREADY),
- .e(Ufopw6),
- .o(Utohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2335 (
- .a(Cbbiu6_lutinv),
- .b(Tc8iu6),
- .c(P0kax6),
- .d(Xiipw6),
- .o(_al_u2335_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2336 (
- .a(Rwjax6),
- .b(Sojax6),
- .o(_al_u2336_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*A)"),
- .INIT(16'h0020))
- _al_u2337 (
- .a(_al_u718_o),
- .b(Ae0iu6_lutinv),
- .c(_al_u2336_o),
- .d(U9ypw6),
- .o(_al_u2337_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(~D*C))"),
- .INIT(16'h1101))
- _al_u2338 (
- .a(_al_u2337_o),
- .b(_al_u957_o),
- .c(Mfjiu6),
- .d(Aujpw6),
- .o(_al_u2338_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u2339 (
- .a(_al_u2335_o),
- .b(_al_u2338_o),
- .c(Habiu6),
- .d(Irmpw6),
- .o(_al_u2339_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u234 (
- .a(C7now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r6_o[18]),
- .d(vis_r5_o[18]),
- .o(_al_u234_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*(E@(D*C*B)))"),
- .INIT(32'h15554000))
- _al_u2340 (
- .a(_al_u1500_o),
- .b(R9mpw6),
- .c(Rskax6),
- .d(Sqkax6),
- .e(U1kpw6),
- .o(_al_u2340_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*C))"),
- .INIT(16'h0222))
- _al_u2341 (
- .a(_al_u2339_o),
- .b(_al_u2340_o),
- .c(_al_u1505_o),
- .d(Rwjax6),
- .o(_al_u2341_o));
- AL_MAP_LUT4 #(
- .EQN("(D*(A*~(B)*~(C)+~(A)*~(B)*C+A*~(B)*C+A*B*C))"),
- .INIT(16'hb200))
- _al_u2342 (
- .a(Zbjiu6),
- .b(_al_u1878_o),
- .c(Ncjiu6_lutinv),
- .d(E88iu6_lutinv),
- .o(_al_u2342_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*A*~(D*~C))"),
- .INIT(16'hdfdd))
- _al_u2343 (
- .a(_al_u2341_o),
- .b(_al_u2342_o),
- .c(Yb8iu6),
- .d(Wkipw6),
- .o(Y7jiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2344 (
- .a(Vjniu6_lutinv),
- .b(Jkniu6_lutinv),
- .o(Numiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2345 (
- .a(Rhniu6_lutinv),
- .b(Jkniu6_lutinv),
- .o(Stmiu6));
- AL_MAP_LUT2 #(
- .EQN("~(~B*A)"),
- .INIT(4'hd))
- _al_u2346 (
- .a(_al_u2265_o),
- .b(Jrypw6),
- .o(n1568));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u2347 (
- .a(T9qow6),
- .b(I4eiu6),
- .c(Ur4iu6),
- .d(Wofiu6_lutinv),
- .o(_al_u2347_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*~A))"),
- .INIT(16'h2a3f))
- _al_u2348 (
- .a(_al_u2347_o),
- .b(HWDATA[31]),
- .c(Ch5iu6_lutinv),
- .d(Pdyax6),
- .o(_al_u2348_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2349 (
- .a(_al_u2348_o),
- .b(_al_u1895_o),
- .c(T8row6),
- .o(Npghu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u235 (
- .a(N9now6_lutinv),
- .b(Panow6_lutinv),
- .c(vis_r3_o[18]),
- .d(vis_r1_o[18]),
- .o(_al_u235_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(D*C)*~(E*~A))"),
- .INIT(32'h08880ccc))
- _al_u2350 (
- .a(_al_u1600_o),
- .b(Aaiiu6),
- .c(_al_u1604_o),
- .d(Rwjax6),
- .e(Ssjax6),
- .o(_al_u2350_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u2351 (
- .a(_al_u1595_o),
- .b(_al_u2350_o),
- .c(Irmpw6),
- .o(_al_u2351_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~((~D*~C))*~(E)+A*~(B)*(~D*~C)*~(E)+~(A)*~(B)*~((~D*~C))*E+A*~(B)*~((~D*~C))*E+~(A)*B*~((~D*~C))*E+~(A)*~(B)*(~D*~C)*E+A*~(B)*(~D*~C)*E+~(A)*B*(~D*~C)*E+A*B*(~D*~C)*E)"),
- .INIT(32'h777f2222))
- _al_u2352 (
- .a(D8iiu6),
- .b(_al_u2351_o),
- .c(_al_u1990_o),
- .d(_al_u390_o),
- .e(Oikax6),
- .o(Jfthu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u2353 (
- .a(_al_u2297_o),
- .b(_al_u864_o),
- .c(_al_u2288_o),
- .d(N5fpw6[28]),
- .o(_al_u2353_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2354 (
- .a(_al_u2353_o),
- .b(A3iiu6),
- .c(Nxkbx6[30]),
- .o(_al_u2354_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u2355 (
- .a(_al_u2297_o),
- .b(_al_u871_o),
- .c(_al_u2288_o),
- .d(N5fpw6[29]),
- .o(_al_u2355_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2356 (
- .a(_al_u2355_o),
- .b(A3iiu6),
- .c(Nxkbx6[31]),
- .o(_al_u2356_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2357 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[30]),
- .d(Nxkbx6[32]),
- .o(If3pw6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2358 (
- .a(If3pw6),
- .b(_al_u2297_o),
- .c(To2ju6_lutinv),
- .o(_al_u2358_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u2359 (
- .a(_al_u2354_o),
- .b(_al_u2356_o),
- .c(_al_u2358_o),
- .o(I7cow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u236 (
- .a(U9now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r0_o[18]),
- .d(vis_r4_o[18]),
- .o(_al_u236_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u2360 (
- .a(_al_u1812_o),
- .b(Hs8ow6),
- .c(_al_u398_o),
- .d(Frziu6_lutinv),
- .o(_al_u2360_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*~(C*B)))"),
- .INIT(16'h5540))
- _al_u2361 (
- .a(_al_u2360_o),
- .b(_al_u1463_o),
- .c(Yi7ju6_lutinv),
- .d(T1vpw6),
- .o(_al_u2361_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~C*~(~A*~(~E*B)))"),
- .INIT(32'h0a000e00))
- _al_u2362 (
- .a(_al_u1604_o),
- .b(_al_u1487_o),
- .c(_al_u1087_o),
- .d(Sojax6),
- .e(Ssjax6),
- .o(_al_u2362_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~A*~(D*C*B)))"),
- .INIT(32'h0000eaaa))
- _al_u2363 (
- .a(_al_u2362_o),
- .b(Qe8iu6_lutinv),
- .c(Vs0iu6),
- .d(_al_u638_o),
- .e(Vygax6),
- .o(_al_u2363_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*~A)"),
- .INIT(16'h0004))
- _al_u2364 (
- .a(Ae0iu6_lutinv),
- .b(Frziu6_lutinv),
- .c(Ydopw6),
- .d(Yvjpw6),
- .o(_al_u2364_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~B*~(D*A)))"),
- .INIT(16'he0c0))
- _al_u2365 (
- .a(_al_u1812_o),
- .b(_al_u2364_o),
- .c(Vo3ju6_lutinv),
- .d(D31ju6),
- .o(_al_u2365_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~A*~(E*D))"),
- .INIT(32'h00010101))
- _al_u2366 (
- .a(_al_u2361_o),
- .b(_al_u2363_o),
- .c(_al_u2365_o),
- .d(_al_u141_o),
- .e(_al_u190_o),
- .o(_al_u2366_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*(~(A)*~(C)*~((~E*B))+~(A)*C*~((~E*B))+A*C*~((~E*B))+~(A)*~(C)*(~E*B)+A*C*(~E*B)))"),
- .INIT(32'h00f500b5))
- _al_u2367 (
- .a(_al_u2106_o),
- .b(N4kax6),
- .c(Rwjax6),
- .d(Sojax6),
- .e(Ssjax6),
- .o(_al_u2367_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(~C*~B))"),
- .INIT(8'h54))
- _al_u2368 (
- .a(N4kax6),
- .b(Ssjax6),
- .c(W4jax6),
- .o(_al_u2368_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~(~C*~B)))"),
- .INIT(16'h02aa))
- _al_u2369 (
- .a(_al_u2367_o),
- .b(_al_u2368_o),
- .c(_al_u1228_o),
- .d(P0kax6),
- .o(_al_u2369_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u237 (
- .a(_al_u233_o),
- .b(_al_u234_o),
- .c(_al_u235_o),
- .d(_al_u236_o),
- .o(S90iu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u2370 (
- .a(_al_u1592_o),
- .b(Rwjax6),
- .c(Sojax6),
- .o(_al_u2370_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(C*~(~D*~(E*~A))))"),
- .INIT(32'h03230333))
- _al_u2371 (
- .a(_al_u2369_o),
- .b(_al_u2370_o),
- .c(_al_u400_o),
- .d(_al_u1603_o),
- .e(Dxvpw6),
- .o(_al_u2371_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u2372 (
- .a(Dmiiu6),
- .b(_al_u1592_o),
- .c(_al_u1223_o),
- .d(Hgrpw6),
- .o(_al_u2372_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E)"),
- .INIT(32'h035fff5f))
- _al_u2373 (
- .a(Dxvpw6),
- .b(P0kax6),
- .c(P14qw6),
- .d(Sojax6),
- .e(Ssjax6),
- .o(_al_u2373_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~A*~(~C*~(~E*D))))"),
- .INIT(32'h8c8c888c))
- _al_u2374 (
- .a(_al_u2373_o),
- .b(J9kiu6_lutinv),
- .c(Dxvpw6),
- .d(Gr2qw6),
- .e(P0kax6),
- .o(_al_u2374_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*C))"),
- .INIT(16'h0222))
- _al_u2375 (
- .a(_al_u2372_o),
- .b(_al_u2374_o),
- .c(_al_u1509_o),
- .d(_al_u2141_o),
- .o(_al_u2375_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2376 (
- .a(Sojax6),
- .b(Ssjax6),
- .o(_al_u2376_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u2377 (
- .a(_al_u1592_o),
- .b(_al_u2376_o),
- .c(Dxvpw6),
- .d(U9ypw6),
- .o(_al_u2377_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~D*B*~(~E*~A)))"),
- .INIT(32'hf030f070))
- _al_u2378 (
- .a(_al_u2371_o),
- .b(_al_u2375_o),
- .c(_al_u1814_o),
- .d(_al_u2377_o),
- .e(Hgrpw6),
- .o(_al_u2378_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2379 (
- .a(_al_u1059_o),
- .b(Yecpw6_lutinv),
- .o(_al_u2379_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u238 (
- .a(S90iu6),
- .b(Xuzhu6),
- .o(Mifpw6[18]));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*C*~(D*~B)))"),
- .INIT(32'h15055555))
- _al_u2380 (
- .a(_al_u2379_o),
- .b(_al_u155_o),
- .c(_al_u398_o),
- .d(Aujpw6),
- .e(Vzupw6),
- .o(_al_u2380_o));
- AL_MAP_LUT5 #(
- .EQN("(D*A*~(B*~(E)*~(C)+B*E*~(C)+~(B)*E*C+B*E*C))"),
- .INIT(32'h0200a200))
- _al_u2381 (
- .a(_al_u1094_o),
- .b(R3vpw6),
- .c(Ufopw6),
- .d(Vzupw6),
- .e(Xxupw6),
- .o(_al_u2381_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~D*~C*B*~A))"),
- .INIT(32'h0000fffb))
- _al_u2382 (
- .a(_al_u2318_o),
- .b(_al_u2380_o),
- .c(_al_u2381_o),
- .d(_al_u191_o),
- .e(Ae0iu6_lutinv),
- .o(_al_u2382_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2383 (
- .a(_al_u640_o),
- .b(D6kiu6_lutinv),
- .o(_al_u2383_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2384 (
- .a(Ls1ju6),
- .b(Sq3ju6),
- .c(_al_u1582_o),
- .o(_al_u2384_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~((~D*A))*~(B)+C*(~D*A)*~(B)+~(C)*(~D*A)*B+C*(~D*A)*B)"),
- .INIT(16'hcf47))
- _al_u2385 (
- .a(_al_u2383_o),
- .b(_al_u1684_o),
- .c(_al_u2384_o),
- .d(Xxupw6),
- .o(Fy8ow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*A)"),
- .INIT(16'h0200))
- _al_u2386 (
- .a(_al_u2366_o),
- .b(_al_u2378_o),
- .c(_al_u2382_o),
- .d(Fy8ow6_lutinv),
- .o(_al_u2386_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*~((D*~C))*~(E)+~(A)*B*(D*~C)*~(E)+~(A)*~(B)*~((D*~C))*E+A*~(B)*~((D*~C))*E+~(A)*B*~((D*~C))*E+~(A)*~(B)*(D*~C)*E+A*~(B)*(D*~C)*E+~(A)*B*(D*~C)*E+A*B*(D*~C)*E)"),
- .INIT(32'h7f774444))
- _al_u2387 (
- .a(_al_u2386_o),
- .b(HREADY),
- .c(Aujpw6),
- .d(P5vpw6),
- .e(Xxupw6),
- .o(Qrohu6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2388 (
- .a(_al_u394_o),
- .b(_al_u1085_o),
- .c(Ldoiu6_lutinv),
- .o(_al_u2388_o));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h3f08))
- _al_u2389 (
- .a(_al_u1061_o),
- .b(Hwhiu6_lutinv),
- .c(_al_u2388_o),
- .d(Gv1bx6),
- .o(_al_u2389_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u239 (
- .a(Panow6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r3_o[19]),
- .d(vis_r4_o[19]),
- .o(_al_u239_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u2390 (
- .a(_al_u2347_o),
- .b(_al_u2052_o),
- .c(_al_u2389_o),
- .d(IRQ[16]),
- .o(Zgthu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*(D*C)*~(E)+~(A)*~(B)*~((D*C))*E+A*~(B)*~((D*C))*E+~(A)*B*~((D*C))*E+A*B*~((D*C))*E+~(A)*~(B)*(D*C)*E+A*~(B)*(D*C)*E)"),
- .INIT(32'h3fff2000))
- _al_u2391 (
- .a(_al_u1061_o),
- .b(_al_u2388_o),
- .c(_al_u1886_o),
- .d(_al_u1909_o),
- .e(Y72bx6),
- .o(_al_u2391_o));
- AL_MAP_LUT5 #(
- .EQN("(E*C*~A*~(D*B))"),
- .INIT(32'h10500000))
- _al_u2392 (
- .a(_al_u2347_o),
- .b(HWDATA[1]),
- .c(_al_u2391_o),
- .d(Kwfiu6),
- .e(IRQ[1]),
- .o(Cmthu6));
- AL_MAP_LUT5 #(
- .EQN("(D*(A*B*~(C)*~(E)+~(A)*~(B)*~(C)*E+A*~(B)*~(C)*E+~(A)*B*~(C)*E+A*B*~(C)*E+~(A)*~(B)*C*E+A*~(B)*C*E))"),
- .INIT(32'h3f000800))
- _al_u2393 (
- .a(_al_u1061_o),
- .b(Bggiu6_lutinv),
- .c(_al_u2388_o),
- .d(IRQ[8]),
- .e(Sq3bx6),
- .o(_al_u2393_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(D*B))"),
- .INIT(16'h1050))
- _al_u2394 (
- .a(_al_u2347_o),
- .b(HWDATA[8]),
- .c(_al_u2393_o),
- .d(Kwfiu6),
- .o(Enthu6));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h3f08))
- _al_u2395 (
- .a(_al_u1061_o),
- .b(Eegiu6_lutinv),
- .c(_al_u2388_o),
- .d(Pgjbx6),
- .o(_al_u2395_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u2396 (
- .a(_al_u2347_o),
- .b(_al_u1920_o),
- .c(_al_u2395_o),
- .d(IRQ[9]),
- .o(Lnthu6));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h3f08))
- _al_u2397 (
- .a(_al_u1061_o),
- .b(Hcgiu6_lutinv),
- .c(_al_u2388_o),
- .d(Ca1bx6),
- .o(_al_u2397_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u2398 (
- .a(_al_u2347_o),
- .b(_al_u2016_o),
- .c(_al_u2397_o),
- .d(IRQ[10]),
- .o(Snthu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*(D*C)*~(E)+~(A)*~(B)*~((D*C))*E+A*~(B)*~((D*C))*E+~(A)*B*~((D*C))*E+A*B*~((D*C))*E+~(A)*~(B)*(D*C)*E+A*~(B)*(D*C)*E)"),
- .INIT(32'h3fff2000))
- _al_u2399 (
- .a(_al_u1061_o),
- .b(_al_u2388_o),
- .c(_al_u1891_o),
- .d(_al_u1062_o),
- .e(Ie1bx6),
- .o(_al_u2399_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u24 (
- .a(Iqzhu6_lutinv),
- .b(Gwwpw6),
- .o(N64iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u240 (
- .a(C7now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r6_o[19]),
- .d(vis_r7_o[19]),
- .o(_al_u240_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u2400 (
- .a(_al_u2347_o),
- .b(_al_u2020_o),
- .c(_al_u2399_o),
- .d(IRQ[11]),
- .o(Znthu6));
- AL_MAP_LUT5 #(
- .EQN("(D*(A*B*~(C)*~(E)+~(A)*~(B)*~(C)*E+A*~(B)*~(C)*E+~(A)*B*~(C)*E+A*B*~(C)*E+~(A)*~(B)*C*E+A*~(B)*C*E))"),
- .INIT(32'h3f000800))
- _al_u2401 (
- .a(_al_u1061_o),
- .b(_al_u2026_o),
- .c(_al_u2388_o),
- .d(IRQ[12]),
- .e(W51bx6),
- .o(_al_u2401_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(D*B))"),
- .INIT(16'h1050))
- _al_u2402 (
- .a(_al_u2347_o),
- .b(HWDATA[12]),
- .c(_al_u2401_o),
- .d(Kwfiu6),
- .o(Gothu6));
- AL_MAP_LUT5 #(
- .EQN("(D*(A*B*~(C)*~(E)+~(A)*~(B)*~(C)*E+A*~(B)*~(C)*E+~(A)*B*~(C)*E+A*B*~(C)*E+~(A)*~(B)*C*E+A*~(B)*C*E))"),
- .INIT(32'h3f000800))
- _al_u2403 (
- .a(_al_u1061_o),
- .b(_al_u2030_o),
- .c(_al_u2388_o),
- .d(IRQ[13]),
- .e(Oi1bx6),
- .o(_al_u2403_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(D*B))"),
- .INIT(16'h1050))
- _al_u2404 (
- .a(_al_u2347_o),
- .b(HWDATA[13]),
- .c(_al_u2403_o),
- .d(Kwfiu6),
- .o(Nothu6));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h3f08))
- _al_u2405 (
- .a(_al_u1061_o),
- .b(R3giu6),
- .c(_al_u2388_o),
- .d(Um1bx6),
- .o(_al_u2405_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u2406 (
- .a(_al_u2347_o),
- .b(_al_u2033_o),
- .c(_al_u2405_o),
- .d(IRQ[14]),
- .o(Uothu6));
- AL_MAP_LUT5 #(
- .EQN("(D*(A*B*~(C)*~(E)+~(A)*~(B)*~(C)*E+A*~(B)*~(C)*E+~(A)*B*~(C)*E+A*B*~(C)*E+~(A)*~(B)*C*E+A*~(B)*C*E))"),
- .INIT(32'h3f000800))
- _al_u2407 (
- .a(_al_u1061_o),
- .b(_al_u2102_o),
- .c(_al_u2388_o),
- .d(IRQ[15]),
- .e(Woiax6),
- .o(_al_u2407_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(D*B))"),
- .INIT(16'h1050))
- _al_u2408 (
- .a(_al_u2347_o),
- .b(Fsdiu6),
- .c(_al_u2407_o),
- .d(Kwfiu6),
- .o(Bpthu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~(C*B*A))"),
- .INIT(16'h7f00))
- _al_u2409 (
- .a(_al_u2388_o),
- .b(_al_u1886_o),
- .c(_al_u1062_o),
- .d(IRQ[3]),
- .o(_al_u2409_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u241 (
- .a(U9now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r0_o[19]),
- .d(vis_r5_o[19]),
- .o(_al_u241_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~D*~(C*A)))"),
- .INIT(16'hcc80))
- _al_u2410 (
- .a(_al_u1899_o),
- .b(_al_u2409_o),
- .c(_al_u1886_o),
- .d(Kshbx6),
- .o(_al_u2410_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(D*B))"),
- .INIT(16'h1050))
- _al_u2411 (
- .a(_al_u2347_o),
- .b(HWDATA[3]),
- .c(_al_u2410_o),
- .d(Kwfiu6),
- .o(Trthu6));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h3f08))
- _al_u2412 (
- .a(_al_u1061_o),
- .b(Odfiu6_lutinv),
- .c(_al_u2388_o),
- .d(Eghbx6),
- .o(_al_u2412_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u2413 (
- .a(_al_u2347_o),
- .b(_al_u1902_o),
- .c(_al_u2412_o),
- .d(IRQ[4]),
- .o(Asthu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*(D*C)*~(E)+~(A)*~(B)*~((D*C))*E+A*~(B)*~((D*C))*E+~(A)*B*~((D*C))*E+A*B*~((D*C))*E+~(A)*~(B)*(D*C)*E+A*~(B)*(D*C)*E)"),
- .INIT(32'h3fff2000))
- _al_u2414 (
- .a(_al_u1061_o),
- .b(_al_u2388_o),
- .c(_al_u1904_o),
- .d(_al_u1909_o),
- .e(Ki3bx6),
- .o(_al_u2414_o));
- AL_MAP_LUT5 #(
- .EQN("(E*C*~A*~(D*B))"),
- .INIT(32'h10500000))
- _al_u2415 (
- .a(_al_u2347_o),
- .b(HWDATA[5]),
- .c(_al_u2414_o),
- .d(Kwfiu6),
- .e(IRQ[5]),
- .o(Hsthu6));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h3f08))
- _al_u2416 (
- .a(_al_u1061_o),
- .b(G9fiu6_lutinv),
- .c(_al_u2388_o),
- .d(Jp9bx6),
- .o(_al_u2416_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u2417 (
- .a(_al_u2347_o),
- .b(_al_u1912_o),
- .c(_al_u2416_o),
- .d(IRQ[6]),
- .o(Osthu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*(D*C)*~(E)+~(A)*~(B)*~((D*C))*E+A*~(B)*~((D*C))*E+~(A)*B*~((D*C))*E+A*B*~((D*C))*E+~(A)*~(B)*(D*C)*E+A*~(B)*(D*C)*E)"),
- .INIT(32'h3fff2000))
- _al_u2418 (
- .a(_al_u1061_o),
- .b(_al_u2388_o),
- .c(_al_u1904_o),
- .d(_al_u1062_o),
- .e(Om3bx6),
- .o(_al_u2418_o));
- AL_MAP_LUT5 #(
- .EQN("(E*C*~A*~(D*B))"),
- .INIT(32'h10500000))
- _al_u2419 (
- .a(_al_u2347_o),
- .b(HWDATA[7]),
- .c(_al_u2418_o),
- .d(Kwfiu6),
- .e(IRQ[7]),
- .o(Vsthu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u242 (
- .a(N9now6_lutinv),
- .b(V6now6_lutinv),
- .c(vis_r1_o[19]),
- .d(vis_r2_o[19]),
- .o(_al_u242_o));
- AL_MAP_LUT5 #(
- .EQN("(D*(A*B*~(C)*~(E)+~(A)*~(B)*~(C)*E+A*~(B)*~(C)*E+~(A)*B*~(C)*E+A*B*~(C)*E+~(A)*~(B)*C*E+A*~(B)*C*E))"),
- .INIT(32'h3f000800))
- _al_u2420 (
- .a(_al_u1061_o),
- .b(_al_u2040_o),
- .c(_al_u2388_o),
- .d(IRQ[17]),
- .e(Ar1bx6),
- .o(_al_u2420_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(D*B))"),
- .INIT(16'h1050))
- _al_u2421 (
- .a(_al_u2347_o),
- .b(HWDATA[17]),
- .c(_al_u2420_o),
- .d(Kwfiu6),
- .o(Vruhu6));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h3f08))
- _al_u2422 (
- .a(_al_u1061_o),
- .b(_al_u2189_o),
- .c(_al_u2388_o),
- .d(Mz1bx6),
- .o(_al_u2422_o));
- AL_MAP_LUT5 #(
- .EQN("(E*C*~A*~(D*B))"),
- .INIT(32'h10500000))
- _al_u2423 (
- .a(_al_u2347_o),
- .b(HWDATA[18]),
- .c(_al_u2422_o),
- .d(Kwfiu6),
- .e(IRQ[18]),
- .o(Jsuhu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*(D*C)*~(E)+~(A)*~(B)*~((D*C))*E+A*~(B)*~((D*C))*E+~(A)*B*~((D*C))*E+A*B*~((D*C))*E+~(A)*~(B)*(D*C)*E+A*~(B)*(D*C)*E)"),
- .INIT(32'h3fff2000))
- _al_u2424 (
- .a(_al_u1061_o),
- .b(_al_u2388_o),
- .c(_al_u2039_o),
- .d(_al_u1062_o),
- .e(S32bx6),
- .o(_al_u2424_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u2425 (
- .a(_al_u2347_o),
- .b(_al_u2048_o),
- .c(_al_u2424_o),
- .d(IRQ[19]),
- .o(Xsuhu6));
- AL_MAP_LUT5 #(
- .EQN("(D*(A*B*~(C)*~(E)+~(A)*~(B)*~(C)*E+A*~(B)*~(C)*E+~(A)*B*~(C)*E+A*B*~(C)*E+~(A)*~(B)*C*E+A*~(B)*C*E))"),
- .INIT(32'h3f000800))
- _al_u2426 (
- .a(_al_u1061_o),
- .b(_al_u1887_o),
- .c(_al_u2388_o),
- .d(IRQ[0]),
- .e(S11bx6),
- .o(_al_u2426_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(D*B))"),
- .INIT(16'h1050))
- _al_u2427 (
- .a(_al_u2347_o),
- .b(HWDATA[0]),
- .c(_al_u2426_o),
- .d(Kwfiu6),
- .o(Mivhu6));
- AL_MAP_LUT4 #(
- .EQN("~((C*B)*~(A)*~(D)+(C*B)*A*~(D)+~((C*B))*A*D+(C*B)*A*D)"),
- .INIT(16'h553f))
- _al_u2428 (
- .a(_al_u1068_o),
- .b(Fq8iu6),
- .c(_al_u705_o),
- .d(Aujpw6),
- .o(_al_u2428_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2429 (
- .a(_al_u1085_o),
- .b(_al_u690_o),
- .c(Daiax6),
- .o(_al_u2429_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u243 (
- .a(_al_u239_o),
- .b(_al_u240_o),
- .c(_al_u241_o),
- .d(_al_u242_o),
- .o(L90iu6));
- AL_MAP_LUT5 #(
- .EQN("(C*(E*~((~D*~A))*~(B)+E*(~D*~A)*~(B)+~(E)*(~D*~A)*B+E*(~D*~A)*B))"),
- .INIT(32'h30700040))
- _al_u2430 (
- .a(Aujpw6),
- .b(Hirpw6),
- .c(P5vpw6),
- .d(R3vpw6),
- .e(Xxupw6),
- .o(_al_u2430_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u2431 (
- .a(_al_u2428_o),
- .b(_al_u2124_o),
- .c(_al_u2429_o),
- .d(_al_u2430_o),
- .o(_al_u2431_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*C*B))"),
- .INIT(16'haa2a))
- _al_u2432 (
- .a(_al_u2431_o),
- .b(Htyiu6),
- .c(_al_u1503_o),
- .d(Dxvpw6),
- .o(_al_u2432_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(~D*~B)))"),
- .INIT(16'h0515))
- _al_u2433 (
- .a(_al_u2383_o),
- .b(_al_u1070_o),
- .c(Yecpw6_lutinv),
- .d(_al_u394_o),
- .o(_al_u2433_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u2434 (
- .a(Nu9ow6),
- .b(Hirpw6),
- .c(Vzupw6),
- .o(_al_u2434_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*C*~(~E*B)))"),
- .INIT(32'h05554555))
- _al_u2435 (
- .a(_al_u2434_o),
- .b(_al_u1087_o),
- .c(_al_u705_o),
- .d(Frziu6_lutinv),
- .e(M8fax6),
- .o(_al_u2435_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2436 (
- .a(_al_u2432_o),
- .b(_al_u2118_o),
- .c(_al_u2433_o),
- .d(_al_u2435_o),
- .o(_al_u2436_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~C*~(B*~A)))"),
- .INIT(16'hf400))
- _al_u2437 (
- .a(_al_u2146_o),
- .b(Frziu6_lutinv),
- .c(_al_u410_o),
- .d(_al_u907_o),
- .o(_al_u2437_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(~D*B))"),
- .INIT(16'h0a02))
- _al_u2438 (
- .a(_al_u2436_o),
- .b(_al_u2299_o),
- .c(_al_u2437_o),
- .d(Ufopw6),
- .o(_al_u2438_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~(B*~(~C*A)))"),
- .INIT(32'h0000003b))
- _al_u2439 (
- .a(N4kax6),
- .b(P0kax6),
- .c(Rwjax6),
- .d(Ssjax6),
- .e(W4jax6),
- .o(_al_u2439_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u244 (
- .a(L90iu6),
- .b(Xuzhu6),
- .o(Mifpw6[19]));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~D*~(C*~B*A)))"),
- .INIT(32'h0000ff20))
- _al_u2440 (
- .a(Qz0ju6),
- .b(_al_u2439_o),
- .c(Dxvpw6),
- .d(Hgrpw6),
- .e(U9ypw6),
- .o(_al_u2440_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'ha1e5))
- _al_u2441 (
- .a(P14qw6),
- .b(Rwjax6),
- .c(Skjax6),
- .d(Ssjax6),
- .o(_al_u2441_o));
- AL_MAP_LUT5 #(
- .EQN("(~(E*~D*C)*~(B*~A))"),
- .INIT(32'hbb0bbbbb))
- _al_u2442 (
- .a(_al_u2440_o),
- .b(_al_u1503_o),
- .c(Dmiiu6),
- .d(_al_u2441_o),
- .e(Ydopw6),
- .o(_al_u2442_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2443 (
- .a(_al_u718_o),
- .b(Dmiiu6),
- .c(_al_u1225_o),
- .d(Sojax6),
- .o(_al_u2443_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2444 (
- .a(_al_u1509_o),
- .b(U9ypw6),
- .o(_al_u2444_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*C*B))"),
- .INIT(16'h5515))
- _al_u2445 (
- .a(_al_u2443_o),
- .b(_al_u2444_o),
- .c(_al_u2141_o),
- .d(Hgrpw6),
- .o(_al_u2445_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u2446 (
- .a(Wh0ju6),
- .b(_al_u2106_o),
- .c(Jckax6),
- .d(N4kax6),
- .o(J8ziu6));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u2447 (
- .a(J8ziu6),
- .b(F6ziu6),
- .c(_al_u386_o),
- .d(Y40ju6),
- .e(U9ypw6),
- .o(_al_u2447_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*B*~(~D*~A))"),
- .INIT(16'h0c08))
- _al_u2448 (
- .a(_al_u2442_o),
- .b(_al_u2445_o),
- .c(_al_u2447_o),
- .d(Sojax6),
- .o(_al_u2448_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D*A)))"),
- .INIT(16'h2303))
- _al_u2449 (
- .a(_al_u1228_o),
- .b(Dxvpw6),
- .c(P14qw6),
- .d(Skjax6),
- .o(Ea7ow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u245 (
- .a(N9now6_lutinv),
- .b(V6now6_lutinv),
- .c(vis_r1_o[1]),
- .d(vis_r2_o[1]),
- .o(_al_u245_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~((~D*~B))*~(C)+A*(~D*~B)*~(C)+~(A)*(~D*~B)*C+A*(~D*~B)*C)"),
- .INIT(16'hf5c5))
- _al_u2450 (
- .a(_al_u907_o),
- .b(Hirpw6),
- .c(R3vpw6),
- .d(Ydopw6),
- .o(_al_u2450_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~C)*~(B*A))"),
- .INIT(16'h7077))
- _al_u2451 (
- .a(Vviiu6),
- .b(Ea7ow6_lutinv),
- .c(_al_u2450_o),
- .d(_al_u154_o),
- .o(_al_u2451_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*C*~A))"),
- .INIT(16'h8ccc))
- _al_u2452 (
- .a(_al_u1684_o),
- .b(_al_u2451_o),
- .c(_al_u1094_o),
- .d(_al_u638_o),
- .o(_al_u2452_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(B*~(~C*~A)))"),
- .INIT(16'h0037))
- _al_u2453 (
- .a(_al_u2448_o),
- .b(_al_u2452_o),
- .c(_al_u1087_o),
- .d(Vygax6),
- .o(_al_u2453_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~C)*~(~B*A))"),
- .INIT(16'hddd0))
- _al_u2454 (
- .a(_al_u2438_o),
- .b(_al_u2453_o),
- .c(HREADY),
- .d(Hirpw6),
- .o(Zsohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2455 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[11]),
- .d(Nxkbx6[13]),
- .o(Z79pw6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2456 (
- .a(Z79pw6),
- .b(_al_u2297_o),
- .c(_al_u745_o),
- .o(_al_u2456_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(D*C*A))"),
- .INIT(16'h1333))
- _al_u2457 (
- .a(W0piu6_lutinv),
- .b(_al_u2156_o),
- .c(_al_u1237_o),
- .d(P14qw6),
- .o(Eyoiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u2458 (
- .a(Sojax6),
- .b(Ssjax6),
- .o(_al_u2458_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u2459 (
- .a(_al_u1503_o),
- .b(Dmiiu6),
- .c(_al_u1087_o),
- .d(_al_u2458_o),
- .o(_al_u2459_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u246 (
- .a(C7now6_lutinv),
- .b(Panow6_lutinv),
- .c(vis_r6_o[1]),
- .d(vis_r3_o[1]),
- .o(_al_u246_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~C*~B*A))"),
- .INIT(16'h00fd))
- _al_u2460 (
- .a(Eyoiu6),
- .b(_al_u2459_o),
- .c(_al_u191_o),
- .d(Ae0iu6_lutinv),
- .o(_al_u2460_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2461 (
- .a(Vviiu6),
- .b(Ia8iu6_lutinv),
- .c(Skjax6),
- .o(_al_u2461_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2462 (
- .a(_al_u395_o),
- .b(Y0jiu6),
- .c(Owoiu6),
- .o(_al_u2462_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(~D*C))"),
- .INIT(16'h4404))
- _al_u2463 (
- .a(_al_u2461_o),
- .b(_al_u2462_o),
- .c(_al_u2081_o),
- .d(Ydopw6),
- .o(_al_u2463_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u2464 (
- .a(W0piu6_lutinv),
- .b(_al_u718_o),
- .c(Ia8iu6_lutinv),
- .d(Qxoiu6),
- .o(_al_u2464_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*A*~(E*~(~D*~B)))"),
- .INIT(32'h00020a0a))
- _al_u2465 (
- .a(M8fax6),
- .b(S7mpw6),
- .c(U9ypw6),
- .d(Wfspw6),
- .e(Wkipw6),
- .o(_al_u2465_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~(B*~A))"),
- .INIT(16'hb000))
- _al_u2466 (
- .a(_al_u2465_o),
- .b(_al_u1087_o),
- .c(_al_u705_o),
- .d(_al_u1440_o),
- .o(_al_u2466_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*~A)"),
- .INIT(16'h0004))
- _al_u2467 (
- .a(_al_u2460_o),
- .b(_al_u2463_o),
- .c(_al_u2464_o),
- .d(_al_u2466_o),
- .o(_al_u2467_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*A)"),
- .INIT(16'h0200))
- _al_u2468 (
- .a(Rzciu6_lutinv),
- .b(R9yax6),
- .c(W5ypw6),
- .d(Ztupw6),
- .o(Ar1iu6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2469 (
- .a(HALTED),
- .b(Ar1iu6),
- .c(C1wpw6),
- .o(_al_u2469_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u247 (
- .a(U9now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r0_o[1]),
- .d(vis_r4_o[1]),
- .o(_al_u247_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~A*~(C*B)))"),
- .INIT(16'h00ea))
- _al_u2470 (
- .a(_al_u1800_o),
- .b(_al_u1073_o),
- .c(P5vpw6),
- .d(Ufopw6),
- .o(_al_u2470_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(D*~(~C*A)))"),
- .INIT(16'h0233))
- _al_u2471 (
- .a(_al_u2467_o),
- .b(_al_u2469_o),
- .c(_al_u2470_o),
- .d(HREADY),
- .o(_al_u2471_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*~B))"),
- .INIT(8'h45))
- _al_u2472 (
- .a(_al_u2471_o),
- .b(_al_u1153_o),
- .c(P5vpw6),
- .o(Jl8iu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*A*~(~C*B))"),
- .INIT(16'h00a2))
- _al_u2473 (
- .a(_al_u1087_o),
- .b(M8fax6),
- .c(Wkipw6),
- .d(Xxupw6),
- .o(_al_u2473_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u2474 (
- .a(_al_u2471_o),
- .b(_al_u2473_o),
- .c(_al_u394_o),
- .o(Ok8iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2475 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(X44iu6),
- .d(Dm6bx6),
- .o(Emmiu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2476 (
- .a(_al_u2456_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Emmiu6),
- .e(vis_pc_o[11]),
- .o(K8qhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u2477 (
- .a(_al_u2297_o),
- .b(_al_u773_o),
- .c(_al_u2288_o),
- .d(N5fpw6[15]),
- .o(_al_u2477_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2478 (
- .a(_al_u2477_o),
- .b(A3iiu6),
- .c(Nxkbx6[17]),
- .o(_al_u2478_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2479 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(Z54iu6),
- .d(Chwpw6),
- .o(Dbmiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u248 (
- .a(X7now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[1]),
- .d(vis_r5_o[1]),
- .o(_al_u248_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2480 (
- .a(_al_u2478_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Dbmiu6),
- .e(vis_pc_o[15]),
- .o(Sqqhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u2481 (
- .a(_al_u2297_o),
- .b(_al_u780_o),
- .c(_al_u2288_o),
- .d(N5fpw6[16]),
- .o(_al_u2481_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2482 (
- .a(_al_u2481_o),
- .b(A3iiu6),
- .c(Nxkbx6[18]),
- .o(_al_u2482_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2483 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(G64iu6),
- .d(Pbbbx6),
- .o(E8miu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2484 (
- .a(_al_u2482_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(E8miu6),
- .e(vis_pc_o[16]),
- .o(Hvqhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u2485 (
- .a(_al_u2297_o),
- .b(_al_u787_o),
- .c(_al_u2288_o),
- .d(N5fpw6[17]),
- .o(_al_u2485_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2486 (
- .a(_al_u2485_o),
- .b(A3iiu6),
- .c(Nxkbx6[19]),
- .o(_al_u2486_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2487 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(N64iu6),
- .d(Syjbx6),
- .o(F5miu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2488 (
- .a(_al_u2486_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(F5miu6),
- .e(vis_pc_o[17]),
- .o(Wzqhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2489 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[18]),
- .d(Nxkbx6[20]),
- .o(Xf7pw6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u249 (
- .a(_al_u245_o),
- .b(_al_u246_o),
- .c(_al_u247_o),
- .d(_al_u248_o),
- .o(E90iu6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2490 (
- .a(Xf7pw6),
- .b(_al_u2297_o),
- .c(_al_u794_o),
- .o(_al_u2490_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2491 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(U64iu6),
- .d(T6kbx6),
- .o(G2miu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2492 (
- .a(_al_u2490_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(G2miu6),
- .e(vis_pc_o[18]),
- .o(L4rhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2493 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[19]),
- .d(Nxkbx6[21]),
- .o(Uw6pw6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2494 (
- .a(Uw6pw6),
- .b(_al_u2297_o),
- .c(_al_u801_o),
- .o(_al_u2494_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2495 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(B74iu6),
- .d(Fjdbx6),
- .o(Hzliu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2496 (
- .a(_al_u2494_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Hzliu6),
- .e(vis_pc_o[19]),
- .o(A9rhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2497 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[20]),
- .d(Nxkbx6[22]),
- .o(Qn6pw6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2498 (
- .a(Qn6pw6),
- .b(_al_u2297_o),
- .c(_al_u808_o),
- .o(_al_u2498_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2499 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(I74iu6),
- .d(M2ebx6),
- .o(Bwliu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u25 (
- .a(Iqzhu6_lutinv),
- .b(Cfvpw6),
- .o(U64iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u250 (
- .a(E90iu6),
- .b(Xuzhu6),
- .o(Mifpw6[1]));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2500 (
- .a(_al_u2498_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Bwliu6),
- .e(vis_pc_o[20]),
- .o(Pdrhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u2501 (
- .a(_al_u2297_o),
- .b(_al_u815_o),
- .c(_al_u2288_o),
- .d(N5fpw6[21]),
- .o(_al_u2501_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2502 (
- .a(_al_u2501_o),
- .b(A3iiu6),
- .c(Nxkbx6[23]),
- .o(_al_u2502_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2503 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(P74iu6),
- .d(Tlebx6),
- .o(Ctliu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2504 (
- .a(_al_u2502_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Ctliu6),
- .e(vis_pc_o[21]),
- .o(Eirhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u2505 (
- .a(_al_u2297_o),
- .b(_al_u822_o),
- .c(_al_u2288_o),
- .d(N5fpw6[22]),
- .o(_al_u2505_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2506 (
- .a(_al_u2505_o),
- .b(A3iiu6),
- .c(Nxkbx6[24]),
- .o(_al_u2506_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2507 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(W74iu6),
- .d(Ztgbx6),
- .o(Kv9iu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2508 (
- .a(_al_u2506_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Kv9iu6),
- .e(vis_pc_o[22]),
- .o(Kavhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u2509 (
- .a(_al_u2297_o),
- .b(_al_u843_o),
- .c(_al_u2288_o),
- .d(N5fpw6[25]),
- .o(_al_u2509_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u251 (
- .a(N9now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r1_o[20]),
- .d(vis_r4_o[20]),
- .o(_al_u251_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2510 (
- .a(_al_u2509_o),
- .b(A3iiu6),
- .c(Nxkbx6[27]),
- .o(_al_u2510_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2511 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(R84iu6),
- .d(F8cbx6),
- .o(Mzkiu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2512 (
- .a(_al_u2510_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Mzkiu6),
- .e(vis_pc_o[25]),
- .o(W5shu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2513 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[26]),
- .d(Nxkbx6[28]),
- .o(S45pw6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2514 (
- .a(S45pw6),
- .b(_al_u2297_o),
- .c(_al_u850_o),
- .o(_al_u2514_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2515 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(Y84iu6),
- .d(Nybbx6),
- .o(E2liu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2516 (
- .a(_al_u2514_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(E2liu6),
- .e(vis_pc_o[26]),
- .o(H1shu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u2517 (
- .a(_al_u2297_o),
- .b(_al_u928_o),
- .c(_al_u2288_o),
- .d(N5fpw6[7]),
- .o(_al_u2517_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2518 (
- .a(_al_u2517_o),
- .b(A3iiu6),
- .c(Nxkbx6[9]),
- .o(_al_u2518_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2519 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(Pl4iu6),
- .d(N61qw6),
- .o(Y3niu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u252 (
- .a(V6now6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r0_o[20]),
- .d(vis_r2_o[20]),
- .o(_al_u252_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2520 (
- .a(_al_u2518_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Y3niu6),
- .e(vis_pc_o[7]),
- .o(Vpphu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2521 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[9]),
- .d(Nxkbx6[11]),
- .o(_al_u2521_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2522 (
- .a(_al_u2521_o),
- .b(_al_u2297_o),
- .c(_al_u942_o),
- .o(_al_u2522_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2523 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(J44iu6),
- .d(Gwxpw6),
- .o(Ivmiu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2524 (
- .a(_al_u2522_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Ivmiu6),
- .e(vis_pc_o[9]),
- .o(Gzphu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2525 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[10]),
- .d(Nxkbx6[12]),
- .o(_al_u2525_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2526 (
- .a(_al_u2525_o),
- .b(_al_u2297_o),
- .c(_al_u949_o),
- .o(_al_u2526_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2527 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(Q44iu6),
- .d(C07bx6),
- .o(Womiu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2528 (
- .a(_al_u2526_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Womiu6),
- .e(vis_pc_o[10]),
- .o(V3qhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2529 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[6]),
- .d(Nxkbx6[8]),
- .o(_al_u2529_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u253 (
- .a(Panow6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r3_o[20]),
- .d(vis_r7_o[20]),
- .o(U37pw6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2530 (
- .a(_al_u2529_o),
- .b(_al_u2297_o),
- .c(_al_u935_o),
- .o(_al_u2530_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2531 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(Gk4iu6),
- .d(Asupw6),
- .o(Krkiu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2532 (
- .a(_al_u2530_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Krkiu6),
- .e(vis_pc_o[6]),
- .o(Hfshu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2533 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[12]),
- .d(Nxkbx6[14]),
- .o(_al_u2533_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2534 (
- .a(_al_u2533_o),
- .b(_al_u2297_o),
- .c(_al_u752_o),
- .o(_al_u2534_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2535 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(E54iu6),
- .d(Xpxax6),
- .o(Mjmiu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2536 (
- .a(_al_u2534_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Mjmiu6),
- .e(vis_pc_o[12]),
- .o(Zcqhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2537 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[13]),
- .d(Nxkbx6[15]),
- .o(_al_u2537_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2538 (
- .a(_al_u2537_o),
- .b(_al_u2297_o),
- .c(_al_u759_o),
- .o(_al_u2538_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2539 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(L54iu6),
- .d(Sb8ax6),
- .o(Ugmiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u254 (
- .a(C7now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r6_o[20]),
- .d(vis_r5_o[20]),
- .o(_al_u254_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2540 (
- .a(_al_u2538_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Ugmiu6),
- .e(vis_pc_o[13]),
- .o(Ohqhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2541 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[14]),
- .d(Nxkbx6[16]),
- .o(_al_u2541_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2542 (
- .a(_al_u2541_o),
- .b(_al_u2297_o),
- .c(_al_u766_o),
- .o(_al_u2542_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2543 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(S54iu6),
- .d(Z47ax6),
- .o(Cemiu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2544 (
- .a(_al_u2542_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Cemiu6),
- .e(vis_pc_o[14]),
- .o(Dmqhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2545 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[5]),
- .d(Nxkbx6[7]),
- .o(_al_u2545_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2546 (
- .a(_al_u2545_o),
- .b(_al_u2297_o),
- .c(_al_u878_o),
- .o(_al_u2546_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2547 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(Xi4iu6),
- .d(Ua9bx6),
- .o(Zokiu6));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(E*C)*~(B*~A))"),
- .INIT(32'hf4ff44ff))
- _al_u2548 (
- .a(_al_u2546_o),
- .b(Jl8iu6),
- .c(Ok8iu6),
- .d(Zokiu6),
- .e(vis_pc_o[5]),
- .o(Wjshu6));
- AL_MAP_LUT4 #(
- .EQN("(A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*~(C)*D+~(B)*C*D+B*C*D))"),
- .INIT(16'ha822))
- _al_u2549 (
- .a(Llaow6_lutinv),
- .b(Ya1ju6_lutinv),
- .c(Jiiiu6),
- .d(Dxvpw6),
- .o(_al_u2549_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u255 (
- .a(_al_u251_o),
- .b(_al_u252_o),
- .c(U37pw6),
- .d(_al_u254_o),
- .o(X80iu6));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B*~(D*C)))"),
- .INIT(16'ha222))
- _al_u2550 (
- .a(Kxziu6_lutinv),
- .b(Dcziu6),
- .c(Jckax6),
- .d(Jgxpw6),
- .o(_al_u2550_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~A*~(D*B)))"),
- .INIT(16'h0e0a))
- _al_u2551 (
- .a(_al_u2549_o),
- .b(_al_u2550_o),
- .c(Hirpw6),
- .d(Ufopw6),
- .o(_al_u2551_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~A*~(~D*C*B)))"),
- .INIT(32'haaea0000))
- _al_u2552 (
- .a(_al_u2551_o),
- .b(Llaow6_lutinv),
- .c(Vo3ju6_lutinv),
- .d(Dxvpw6),
- .e(F9vpw6),
- .o(_al_u2552_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hf1f3f133))
- _al_u2553 (
- .a(_al_u153_o),
- .b(D6kiu6_lutinv),
- .c(Hirpw6),
- .d(R3vpw6),
- .e(Ufopw6),
- .o(_al_u2553_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*~(~B*~(D*C))))"),
- .INIT(32'h0222aaaa))
- _al_u2554 (
- .a(_al_u2553_o),
- .b(_al_u158_o),
- .c(_al_u1095_o),
- .d(Ufopw6),
- .e(Vzupw6),
- .o(_al_u2554_o));
- AL_MAP_LUT4 #(
- .EQN("~((D*A)*~(B)*~(C)+(D*A)*B*~(C)+~((D*A))*B*C+(D*A)*B*C)"),
- .INIT(16'h353f))
- _al_u2555 (
- .a(_al_u121_o),
- .b(_al_u394_o),
- .c(Vygax6),
- .d(Xxupw6),
- .o(_al_u2555_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(C*B*~A))"),
- .INIT(16'hbf00))
- _al_u2556 (
- .a(_al_u2552_o),
- .b(_al_u2554_o),
- .c(_al_u2555_o),
- .d(T1vpw6),
- .o(_al_u2556_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(~E*~C*~B*~A))"),
- .INIT(32'hff00fe00))
- _al_u2557 (
- .a(_al_u148_o),
- .b(Sq3ju6),
- .c(_al_u685_o),
- .d(_al_u1610_o),
- .e(Yvjpw6),
- .o(_al_u2557_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~A*~(E*D))"),
- .INIT(32'h00010101))
- _al_u2558 (
- .a(_al_u2557_o),
- .b(_al_u2283_o),
- .c(Nz2ju6),
- .d(_al_u159_o),
- .e(_al_u670_o),
- .o(_al_u2558_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*~D*~C*B))"),
- .INIT(32'haaa2aaaa))
- _al_u2559 (
- .a(_al_u2558_o),
- .b(Llaow6_lutinv),
- .c(Yecpw6_lutinv),
- .d(_al_u638_o),
- .e(_al_u1153_o),
- .o(_al_u2559_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u256 (
- .a(X80iu6),
- .b(Xuzhu6),
- .o(Mifpw6[20]));
- AL_MAP_LUT5 #(
- .EQN("(D*(A*~((C*B))*~(E)+A*(C*B)*~(E)+~(A)*(C*B)*E+A*(C*B)*E))"),
- .INIT(32'hc000aa00))
- _al_u2560 (
- .a(_al_u1625_o),
- .b(F3aiu6),
- .c(N98iu6_lutinv),
- .d(Ae0iu6_lutinv),
- .e(R3vpw6),
- .o(_al_u2560_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
- .INIT(16'ha280))
- _al_u2561 (
- .a(_al_u1718_o),
- .b(Hirpw6),
- .c(Vzupw6),
- .d(Yvjpw6),
- .o(_al_u2561_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~A*~(D*C*B)))"),
- .INIT(32'heaaa0000))
- _al_u2562 (
- .a(_al_u2561_o),
- .b(Vo3ju6_lutinv),
- .c(_al_u121_o),
- .d(Pt2ju6),
- .e(F9vpw6),
- .o(_al_u2562_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*~A)"),
- .INIT(16'h0004))
- _al_u2563 (
- .a(_al_u2556_o),
- .b(_al_u2559_o),
- .c(_al_u2560_o),
- .d(_al_u2562_o),
- .o(_al_u2563_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u2564 (
- .a(_al_u2563_o),
- .b(_al_u685_o),
- .c(D1piu6_lutinv),
- .o(_al_u2564_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2565 (
- .a(_al_u2564_o),
- .b(Wofiu6_lutinv),
- .o(_al_u2565_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u2566 (
- .a(_al_u2565_o),
- .b(L6lax6),
- .o(_al_u2566_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2567 (
- .a(_al_u669_o),
- .b(_al_u398_o),
- .c(Yp8iu6),
- .o(_al_u2567_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u2568 (
- .a(_al_u2563_o),
- .b(_al_u2567_o),
- .o(_al_u2568_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(~C*A))"),
- .INIT(8'h31))
- _al_u2569 (
- .a(_al_u2566_o),
- .b(_al_u2568_o),
- .c(J0iax6),
- .o(Qqiow6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u257 (
- .a(V6now6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r0_o[21]),
- .d(vis_r2_o[21]),
- .o(_al_u257_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2570 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[23]),
- .d(Nxkbx6[25]),
- .o(Ew5pw6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2571 (
- .a(Ew5pw6),
- .b(_al_u2297_o),
- .c(_al_u829_o),
- .o(_al_u2571_o));
- AL_MAP_LUT5 #(
- .EQN("~((D*~C*A)*~(B)*~(E)+(D*~C*A)*B*~(E)+~((D*~C*A))*B*E+(D*~C*A)*B*E)"),
- .INIT(32'h3333f5ff))
- _al_u2572 (
- .a(Nsoiu6_lutinv),
- .b(D6kiu6_lutinv),
- .c(Hirpw6),
- .d(Wkipw6),
- .e(Xxupw6),
- .o(_al_u2572_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2573 (
- .a(_al_u1087_o),
- .b(_al_u394_o),
- .c(Irmpw6),
- .d(Wkipw6),
- .o(_al_u2573_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2574 (
- .a(Nbkiu6_lutinv),
- .b(Sojax6),
- .o(Wa0ju6));
- AL_MAP_LUT4 #(
- .EQN("(~C*~A*~(D*B))"),
- .INIT(16'h0105))
- _al_u2575 (
- .a(_al_u2573_o),
- .b(Wa0ju6),
- .c(_al_u1592_o),
- .d(_al_u2323_o),
- .o(U19iu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u2576 (
- .a(_al_u2471_o),
- .b(_al_u2572_o),
- .c(U19iu6),
- .o(Ql8iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2577 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(D84iu6),
- .d(Tgkbx6),
- .o(Gwkiu6));
- AL_MAP_LUT5 #(
- .EQN("(C*~(E*B)*~(D*A))"),
- .INIT(32'h103050f0))
- _al_u2578 (
- .a(Ok8iu6),
- .b(Ql8iu6),
- .c(Gwkiu6),
- .d(vis_pc_o[23]),
- .e(vis_tbit_o),
- .o(_al_u2578_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*~A))"),
- .INIT(8'h73))
- _al_u2579 (
- .a(_al_u2571_o),
- .b(_al_u2578_o),
- .c(Jl8iu6),
- .o(Lashu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u258 (
- .a(E8now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[21]),
- .d(vis_r4_o[21]),
- .o(_al_u258_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2580 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[24]),
- .d(Nxkbx6[26]),
- .o(An5pw6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2581 (
- .a(An5pw6),
- .b(_al_u2297_o),
- .c(_al_u836_o),
- .o(_al_u2581_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2582 (
- .a(Ok8iu6),
- .b(_al_u2469_o),
- .c(K84iu6),
- .d(vis_pc_o[24]),
- .o(_al_u2582_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u2583 (
- .a(_al_u2572_o),
- .b(U19iu6),
- .o(_al_u2583_o));
- AL_MAP_LUT4 #(
- .EQN("~((C*B)*~(D)*~(A)+(C*B)*D*~(A)+~((C*B))*D*A+(C*B)*D*A)"),
- .INIT(16'h15bf))
- _al_u2584 (
- .a(_al_u2471_o),
- .b(_al_u2583_o),
- .c(vis_control_o),
- .d(Nwbbx6),
- .o(_al_u2584_o));
- AL_MAP_LUT4 #(
- .EQN("~(D*B*~(C*~A))"),
- .INIT(16'h73ff))
- _al_u2585 (
- .a(_al_u2581_o),
- .b(_al_u2582_o),
- .c(Jl8iu6),
- .d(_al_u2584_o),
- .o(Anrhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2586 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[27]),
- .d(Nxkbx6[29]),
- .o(Ov4pw6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2587 (
- .a(Ov4pw6),
- .b(_al_u2297_o),
- .c(_al_u857_o),
- .o(_al_u2587_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2588 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(F94iu6),
- .d(Ibqpw6),
- .o(Ocniu6));
- AL_MAP_LUT5 #(
- .EQN("(C*~(E*B)*~(D*A))"),
- .INIT(32'h103050f0))
- _al_u2589 (
- .a(Ok8iu6),
- .b(Ql8iu6),
- .c(Ocniu6),
- .d(vis_pc_o[27]),
- .e(vis_apsr_o[0]),
- .o(_al_u2589_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u259 (
- .a(C7now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r6_o[21]),
- .d(vis_r5_o[21]),
- .o(_al_u259_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*~A))"),
- .INIT(8'h73))
- _al_u2590 (
- .a(_al_u2587_o),
- .b(_al_u2589_o),
- .c(Jl8iu6),
- .o(Zkphu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2591 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(M94iu6),
- .d(Sx3qw6),
- .o(Mj8iu6));
- AL_MAP_LUT5 #(
- .EQN("(C*~(E*B)*~(D*A))"),
- .INIT(32'h103050f0))
- _al_u2592 (
- .a(Ok8iu6),
- .b(Ql8iu6),
- .c(Mj8iu6),
- .d(vis_pc_o[28]),
- .e(vis_apsr_o[1]),
- .o(_al_u2592_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*~A))"),
- .INIT(8'h73))
- _al_u2593 (
- .a(_al_u2354_o),
- .b(_al_u2592_o),
- .c(Jl8iu6),
- .o(Ufvhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2594 (
- .a(Ql8iu6),
- .b(_al_u2471_o),
- .c(vis_apsr_o[2]),
- .d(F6dbx6),
- .o(_al_u2594_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2595 (
- .a(_al_u2594_o),
- .b(_al_u2469_o),
- .c(T94iu6),
- .o(_al_u2595_o));
- AL_MAP_LUT5 #(
- .EQN("~(A*~(E*D)*~(C*~B))"),
- .INIT(32'hff757575))
- _al_u2596 (
- .a(_al_u2595_o),
- .b(_al_u2356_o),
- .c(Jl8iu6),
- .d(Ok8iu6),
- .e(vis_pc_o[29]),
- .o(Dsrhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2597 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(Lm1iu6),
- .d(Usnpw6),
- .o(Pmoiu6));
- AL_MAP_LUT5 #(
- .EQN("(C*~(D*B)*~(E*A))"),
- .INIT(32'h105030f0))
- _al_u2598 (
- .a(Ok8iu6),
- .b(Ql8iu6),
- .c(Pmoiu6),
- .d(vis_apsr_o[3]),
- .e(vis_pc_o[30]),
- .o(_al_u2598_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*~A))"),
- .INIT(8'h73))
- _al_u2599 (
- .a(_al_u2358_o),
- .b(_al_u2598_o),
- .c(Jl8iu6),
- .o(Dgphu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u26 (
- .a(Iqzhu6_lutinv),
- .b(M8ipw6),
- .o(O34iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u260 (
- .a(N9now6_lutinv),
- .b(Panow6_lutinv),
- .c(vis_r3_o[21]),
- .d(vis_r1_o[21]),
- .o(_al_u260_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~A*~(D*~C)))"),
- .INIT(16'h8c88))
- _al_u2600 (
- .a(Ay8iu6),
- .b(Jl8iu6),
- .c(U19iu6),
- .d(vis_tbit_o),
- .o(_al_u2600_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2601 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(T24iu6),
- .d(I1lpw6),
- .o(Jz8iu6));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*~B))"),
- .INIT(16'h8aaa))
- _al_u2602 (
- .a(Jz8iu6),
- .b(_al_u2471_o),
- .c(_al_u2583_o),
- .d(vis_primask_o),
- .o(_al_u2602_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*C))"),
- .INIT(16'hfbbb))
- _al_u2603 (
- .a(_al_u2600_o),
- .b(_al_u2602_o),
- .c(Ql8iu6),
- .d(vis_ipsr_o[0]),
- .o(Qdvhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2604 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[4]),
- .d(Nxkbx6[6]),
- .o(_al_u2604_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2605 (
- .a(_al_u2604_o),
- .b(_al_u2297_o),
- .c(_al_u921_o),
- .o(_al_u2605_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2606 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(Oh4iu6),
- .d(Qc5bx6),
- .o(Ykkiu6));
- AL_MAP_LUT5 #(
- .EQN("(C*~(D*B)*~(E*A))"),
- .INIT(32'h105030f0))
- _al_u2607 (
- .a(Ok8iu6),
- .b(Ql8iu6),
- .c(Ykkiu6),
- .d(vis_ipsr_o[5]),
- .e(vis_pc_o[4]),
- .o(_al_u2607_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*~A))"),
- .INIT(8'h73))
- _al_u2608 (
- .a(_al_u2605_o),
- .b(_al_u2607_o),
- .c(Jl8iu6),
- .o(Loshu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2609 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[2]),
- .d(Nxkbx6[4]),
- .o(_al_u2609_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u261 (
- .a(_al_u257_o),
- .b(_al_u258_o),
- .c(_al_u259_o),
- .d(_al_u260_o),
- .o(Q80iu6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2610 (
- .a(_al_u2609_o),
- .b(_al_u2297_o),
- .c(_al_u914_o),
- .o(_al_u2610_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2611 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(Df4iu6),
- .d(T5yax6),
- .o(Qgkiu6));
- AL_MAP_LUT5 #(
- .EQN("(C*~(D*B)*~(E*A))"),
- .INIT(32'h105030f0))
- _al_u2612 (
- .a(Ok8iu6),
- .b(Ql8iu6),
- .c(Qgkiu6),
- .d(vis_ipsr_o[3]),
- .e(vis_pc_o[2]),
- .o(_al_u2612_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*~A))"),
- .INIT(8'h73))
- _al_u2613 (
- .a(_al_u2610_o),
- .b(_al_u2612_o),
- .c(Jl8iu6),
- .o(Htshu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u2614 (
- .a(_al_u2297_o),
- .b(_al_u899_o),
- .c(_al_u2288_o),
- .d(N5fpw6[3]),
- .o(_al_u2614_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2615 (
- .a(_al_u2614_o),
- .b(A3iiu6),
- .c(Nxkbx6[5]),
- .o(_al_u2615_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2616 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(H34iu6),
- .d(Wtxax6),
- .o(B0iiu6));
- AL_MAP_LUT5 #(
- .EQN("(C*~(D*B)*~(E*A))"),
- .INIT(32'h105030f0))
- _al_u2617 (
- .a(Ok8iu6),
- .b(Ql8iu6),
- .c(B0iiu6),
- .d(vis_ipsr_o[4]),
- .e(vis_pc_o[3]),
- .o(_al_u2617_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*~A))"),
- .INIT(8'h73))
- _al_u2618 (
- .a(_al_u2615_o),
- .b(_al_u2617_o),
- .c(Jl8iu6),
- .o(Lgthu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2619 (
- .a(A3iiu6),
- .b(_al_u2288_o),
- .c(N5fpw6[8]),
- .d(Nxkbx6[10]),
- .o(_al_u2619_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u262 (
- .a(Q80iu6),
- .b(Xuzhu6),
- .o(Mifpw6[21]));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2620 (
- .a(_al_u2619_o),
- .b(_al_u2297_o),
- .c(_al_u885_o),
- .o(_al_u2620_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2621 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(Ym4iu6),
- .d(Kn1qw6),
- .o(Z0niu6));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*~C*A))"),
- .INIT(16'hc4cc))
- _al_u2622 (
- .a(Ql8iu6),
- .b(Z0niu6),
- .c(Wofiu6_lutinv),
- .d(F26bx6),
- .o(_al_u2622_o));
- AL_MAP_LUT5 #(
- .EQN("~(B*~(E*D)*~(C*~A))"),
- .INIT(32'hff737373))
- _al_u2623 (
- .a(_al_u2620_o),
- .b(_al_u2622_o),
- .c(Jl8iu6),
- .d(Ok8iu6),
- .e(vis_pc_o[8]),
- .o(Kuphu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2624 (
- .a(_al_u2550_o),
- .b(_al_u154_o),
- .c(_al_u156_o),
- .d(Frziu6_lutinv),
- .o(_al_u2624_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2625 (
- .a(Llaow6_lutinv),
- .b(_al_u688_o),
- .c(_al_u1097_o),
- .d(T1vpw6),
- .o(Jxaiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*~(B)*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
- .INIT(16'h5277))
- _al_u2626 (
- .a(Dxvpw6),
- .b(Hgrpw6),
- .c(Skjax6),
- .d(U9ypw6),
- .o(Y7cpw6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~C*~A*~(D*B))"),
- .INIT(16'h0105))
- _al_u2627 (
- .a(_al_u2624_o),
- .b(Jxaiu6),
- .c(_al_u2561_o),
- .d(Y7cpw6_lutinv),
- .o(_al_u2627_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2628 (
- .a(_al_u684_o),
- .b(_al_u1097_o),
- .o(_al_u2628_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~C*B*~(D*~A)))"),
- .INIT(32'hf7f30000))
- _al_u2629 (
- .a(P0biu6),
- .b(_al_u2627_o),
- .c(_al_u2628_o),
- .d(_al_u2248_o),
- .e(F9vpw6),
- .o(_al_u2629_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u263 (
- .a(Panow6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r4_o[22]),
- .d(vis_r3_o[22]),
- .o(_al_u263_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2630 (
- .a(Kr7ow6_lutinv),
- .b(Kxziu6_lutinv),
- .c(_al_u688_o),
- .d(F9vpw6),
- .o(_al_u2630_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~A*~(~E*D*C)))"),
- .INIT(32'h8888c888))
- _al_u2631 (
- .a(_al_u2630_o),
- .b(_al_u156_o),
- .c(Edapw6_lutinv),
- .d(Btoiu6_lutinv),
- .e(R3vpw6),
- .o(_al_u2631_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u2632 (
- .a(_al_u2631_o),
- .b(Mfjiu6),
- .c(_al_u1097_o),
- .d(Vygax6),
- .o(_al_u2632_o));
- AL_MAP_LUT2 #(
- .EQN("~(B*~A)"),
- .INIT(4'hb))
- _al_u2633 (
- .a(_al_u2629_o),
- .b(_al_u2632_o),
- .o(LOCKUP));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2634 (
- .a(_al_u121_o),
- .b(_al_u148_o),
- .c(_al_u156_o),
- .d(_al_u685_o),
- .o(_al_u2634_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2635 (
- .a(I8lax6),
- .b(P5vpw6),
- .c(Vygax6),
- .o(_al_u2635_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~A*~(~E*D*B))"),
- .INIT(32'h05050105))
- _al_u2636 (
- .a(_al_u2634_o),
- .b(Jxaiu6),
- .c(_al_u2635_o),
- .d(Vo3ju6_lutinv),
- .e(Dxvpw6),
- .o(_al_u2636_o));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(D*~A))"),
- .INIT(16'h80c0))
- _al_u2637 (
- .a(P0biu6),
- .b(_al_u2627_o),
- .c(_al_u2636_o),
- .d(_al_u2248_o),
- .o(_al_u2637_o));
- AL_MAP_LUT5 #(
- .EQN("(~(E*~(D*B))*~(C*~A))"),
- .INIT(32'h8c00afaf))
- _al_u2638 (
- .a(P0biu6),
- .b(Uzaiu6),
- .c(_al_u2247_o),
- .d(B6cpw6),
- .e(_al_u1080_o),
- .o(Wtaiu6));
- AL_MAP_LUT3 #(
- .EQN("(B*~(~C*~A))"),
- .INIT(8'hc8))
- _al_u2639 (
- .a(_al_u2637_o),
- .b(Wtaiu6),
- .c(F9vpw6),
- .o(Li5iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u264 (
- .a(N9now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[22]),
- .d(vis_r1_o[22]),
- .o(_al_u264_o));
- AL_MAP_LUT5 #(
- .EQN("~(B*~(E*~A*~(D*C)))"),
- .INIT(32'h37773333))
- _al_u2640 (
- .a(_al_u2347_o),
- .b(Li5iu6),
- .c(_al_u1899_o),
- .d(T8row6),
- .e(T8kbx6),
- .o(G8vhu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2641 (
- .a(_al_u2566_o),
- .b(vis_pc_o[0]),
- .o(Vtzhu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u2642 (
- .a(_al_u2565_o),
- .b(J0iax6),
- .o(_al_u2642_o));
- AL_MAP_LUT3 #(
- .EQN("(A*B*~(C)+A*~(B)*C+~(A)*B*C+A*B*C)"),
- .INIT(8'he8))
- _al_u2643 (
- .a(Vtzhu6),
- .b(_al_u2642_o),
- .c(vis_pc_o[1]),
- .o(R0ghu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2644 (
- .a(_al_u2564_o),
- .b(HREADY),
- .o(Egziu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2645 (
- .a(_al_u2568_o),
- .b(HREADY),
- .o(Zgziu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~A*~(~E*~D))"),
- .INIT(32'h01010100))
- _al_u2646 (
- .a(Fq8iu6),
- .b(Fr0iu6_lutinv),
- .c(Yp8iu6),
- .d(P5vpw6),
- .e(Ufopw6),
- .o(_al_u2646_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*~A))"),
- .INIT(8'h0e))
- _al_u2647 (
- .a(Egziu6),
- .b(Zgziu6_lutinv),
- .c(_al_u2646_o),
- .o(_al_u2647_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u2648 (
- .a(_al_u1154_o),
- .b(Wofiu6_lutinv),
- .c(Rskax6),
- .o(_al_u2648_o));
- AL_MAP_LUT5 #(
- .EQN("(~(E*~(~D*~C))*~(B*~A))"),
- .INIT(32'h000bbbbb))
- _al_u2649 (
- .a(LOCKUP),
- .b(_al_u2647_o),
- .c(Yi8iu6_lutinv),
- .d(_al_u2648_o),
- .e(HREADY),
- .o(Nn8iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u265 (
- .a(V6now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r5_o[22]),
- .d(vis_r2_o[22]),
- .o(_al_u265_o));
- AL_MAP_LUT5 #(
- .EQN("(~((~B*~A))*~(C)*D*~(E)+(~B*~A)*~(C)*D*~(E)+(~B*~A)*C*D*~(E)+(~B*~A)*C*~(D)*E+~((~B*~A))*~(C)*D*E+(~B*~A)*~(C)*D*E+(~B*~A)*C*D*E)"),
- .INIT(32'h1f101f00))
- _al_u2650 (
- .a(_al_u2565_o),
- .b(_al_u2568_o),
- .c(HREADY),
- .d(J0iax6),
- .e(L6lax6),
- .o(Hjohu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~B*~(~C*A))"),
- .INIT(16'h3100))
- _al_u2651 (
- .a(_al_u407_o),
- .b(_al_u411_o),
- .c(Dg2qw6),
- .d(Uh2qw6),
- .o(_al_u2651_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~B*~A)"),
- .INIT(8'hfe))
- _al_u2652 (
- .a(LOCKUP),
- .b(_al_u2651_o),
- .c(Ydopw6),
- .o(Ghthu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2653 (
- .a(A3iiu6),
- .b(_al_u2297_o),
- .c(_al_u697_o),
- .d(Nxkbx6[2]),
- .o(_al_u2653_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~(C*(D@A)))"),
- .INIT(16'h73b3))
- _al_u2654 (
- .a(_al_u2566_o),
- .b(_al_u2653_o),
- .c(_al_u2288_o),
- .d(vis_pc_o[0]),
- .o(Iiliu6));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(~C*~(D*~A)))"),
- .INIT(16'h3130))
- _al_u2655 (
- .a(P0biu6),
- .b(_al_u155_o),
- .c(Aujpw6),
- .d(T1vpw6),
- .o(_al_u2655_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u2656 (
- .a(_al_u2655_o),
- .b(Llaow6_lutinv),
- .c(Vygax6),
- .o(_al_u2656_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~(D*B)*~(C*A)))"),
- .INIT(32'h0000eca0))
- _al_u2657 (
- .a(_al_u158_o),
- .b(Kxziu6_lutinv),
- .c(_al_u121_o),
- .d(Sy2ju6),
- .e(F9vpw6),
- .o(_al_u2657_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~A*~(E*D*~C))"),
- .INIT(32'h10111111))
- _al_u2658 (
- .a(_al_u1804_o),
- .b(_al_u2657_o),
- .c(Ae0iu6_lutinv),
- .d(_al_u1095_o),
- .e(Vzupw6),
- .o(_al_u2658_o));
- AL_MAP_LUT5 #(
- .EQN("(D*B*~(~C*~(~E*~A)))"),
- .INIT(32'hc000c400))
- _al_u2659 (
- .a(_al_u956_o),
- .b(Llaow6_lutinv),
- .c(_al_u148_o),
- .d(Hirpw6),
- .e(Xxupw6),
- .o(_al_u2659_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u266 (
- .a(C7now6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r6_o[22]),
- .d(vis_r0_o[22]),
- .o(_al_u266_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~C*B*~A))"),
- .INIT(16'hfb00))
- _al_u2660 (
- .a(_al_u2656_o),
- .b(_al_u2658_o),
- .c(_al_u2659_o),
- .d(_al_u156_o),
- .o(_al_u2660_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u2661 (
- .a(_al_u1824_o),
- .b(_al_u1774_o),
- .c(Jgxpw6),
- .d(W4jax6),
- .o(_al_u2661_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~A*~(D*C)))"),
- .INIT(16'hc888))
- _al_u2662 (
- .a(_al_u1784_o),
- .b(Vviiu6),
- .c(_al_u1228_o),
- .d(P0kax6),
- .o(_al_u2662_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u2663 (
- .a(_al_u2662_o),
- .b(Kxziu6_lutinv),
- .c(Vygax6),
- .o(_al_u2663_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~B*~A*~(D*C)))"),
- .INIT(32'hfeee0000))
- _al_u2664 (
- .a(_al_u2661_o),
- .b(_al_u2663_o),
- .c(_al_u1815_o),
- .d(_al_u386_o),
- .e(Skjax6),
- .o(_al_u2664_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*~C))"),
- .INIT(16'h8088))
- _al_u2665 (
- .a(_al_u1815_o),
- .b(_al_u1223_o),
- .c(Rwjax6),
- .d(Skjax6),
- .o(_al_u2665_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u2666 (
- .a(_al_u2665_o),
- .b(W0piu6_lutinv),
- .c(_al_u1503_o),
- .d(_al_u153_o),
- .o(_al_u2666_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*~(B*~(E*C))))"),
- .INIT(32'h04554455))
- _al_u2667 (
- .a(_al_u2664_o),
- .b(_al_u2666_o),
- .c(_al_u2104_o),
- .d(Sojax6),
- .e(W4jax6),
- .o(_al_u2667_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u2668 (
- .a(_al_u1221_o),
- .b(Wa0ju6),
- .c(_al_u153_o),
- .d(Dxvpw6),
- .o(_al_u2668_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
- .INIT(8'h35))
- _al_u2669 (
- .a(_al_u638_o),
- .b(Yi7ju6_lutinv),
- .c(Hirpw6),
- .o(_al_u2669_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u267 (
- .a(_al_u263_o),
- .b(_al_u264_o),
- .c(_al_u265_o),
- .d(_al_u266_o),
- .o(J80iu6));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*~(~C*B)))"),
- .INIT(16'h0455))
- _al_u2670 (
- .a(_al_u2668_o),
- .b(_al_u2669_o),
- .c(Nkaju6_lutinv),
- .d(P5vpw6),
- .o(_al_u2670_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~(B*A))"),
- .INIT(16'h0007))
- _al_u2671 (
- .a(Frziu6_lutinv),
- .b(_al_u1085_o),
- .c(_al_u1099_o),
- .d(D31ju6),
- .o(_al_u2671_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(A*~(D*C)))"),
- .INIT(16'hc444))
- _al_u2672 (
- .a(_al_u2671_o),
- .b(Qe8iu6_lutinv),
- .c(_al_u121_o),
- .d(_al_u1463_o),
- .o(_al_u2672_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*C))"),
- .INIT(16'h0222))
- _al_u2673 (
- .a(_al_u2670_o),
- .b(_al_u2672_o),
- .c(_al_u410_o),
- .d(Pu1ju6_lutinv),
- .o(_al_u2673_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2674 (
- .a(L45iu6_lutinv),
- .b(_al_u153_o),
- .c(_al_u121_o),
- .d(_al_u688_o),
- .o(_al_u2674_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~B*~A*~(~E*C))"),
- .INIT(32'h11000100))
- _al_u2675 (
- .a(_al_u2674_o),
- .b(_al_u1805_o),
- .c(Glaiu6),
- .d(HREADY),
- .e(T1vpw6),
- .o(_al_u2675_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*~(C*~B)))"),
- .INIT(16'h5510))
- _al_u2676 (
- .a(Ae0iu6_lutinv),
- .b(Aujpw6),
- .c(Vzupw6),
- .d(Xxupw6),
- .o(_al_u2676_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~B*~(E*D*A)))"),
- .INIT(32'he0c0c0c0))
- _al_u2677 (
- .a(Ia8iu6_lutinv),
- .b(_al_u2676_o),
- .c(_al_u398_o),
- .d(Frziu6_lutinv),
- .e(Aujiu6),
- .o(_al_u2677_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(D*B))"),
- .INIT(16'h020a))
- _al_u2678 (
- .a(_al_u2675_o),
- .b(_al_u1815_o),
- .c(_al_u2677_o),
- .d(_al_u1767_o),
- .o(_al_u2678_o));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(~D*A))"),
- .INIT(16'hc040))
- _al_u2679 (
- .a(_al_u2104_o),
- .b(_al_u2673_o),
- .c(_al_u2678_o),
- .d(N4kax6),
- .o(_al_u2679_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u268 (
- .a(J80iu6),
- .b(Xuzhu6),
- .o(Mifpw6[22]));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u2680 (
- .a(_al_u1094_o),
- .b(R3vpw6),
- .c(Xxupw6),
- .o(_al_u2680_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~C*~B*~A))"),
- .INIT(16'h00fe))
- _al_u2681 (
- .a(_al_u2152_o),
- .b(_al_u2434_o),
- .c(_al_u2680_o),
- .d(Ae0iu6_lutinv),
- .o(_al_u2681_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2682 (
- .a(_al_u1820_o),
- .b(_al_u2368_o),
- .c(_al_u2323_o),
- .d(Ssjax6),
- .o(_al_u2682_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(~D*C))"),
- .INIT(16'h2202))
- _al_u2683 (
- .a(_al_u2679_o),
- .b(_al_u2681_o),
- .c(Yo1ju6),
- .d(_al_u2682_o),
- .o(_al_u2683_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2684 (
- .a(_al_u142_o),
- .b(D6kiu6_lutinv),
- .c(_al_u1095_o),
- .d(_al_u907_o),
- .o(_al_u2684_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(B*~(C*~A)))"),
- .INIT(16'h7300))
- _al_u2685 (
- .a(_al_u1684_o),
- .b(_al_u2684_o),
- .c(I82ju6),
- .d(Yvjpw6),
- .o(_al_u2685_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C)*~(B)+A*C*~(B)+~(A)*C*B+A*C*B)"),
- .INIT(8'h1d))
- _al_u2686 (
- .a(Ya1ju6_lutinv),
- .b(Dxvpw6),
- .c(Hgrpw6),
- .o(Fpaow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~C*~(B*A)))"),
- .INIT(16'h00f8))
- _al_u2687 (
- .a(Fpaow6_lutinv),
- .b(Sy2ju6),
- .c(Yi7ju6_lutinv),
- .d(Ydopw6),
- .o(_al_u2687_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*C))"),
- .INIT(16'h0111))
- _al_u2688 (
- .a(_al_u2687_o),
- .b(_al_u2306_o),
- .c(_al_u1440_o),
- .d(Vygax6),
- .o(_al_u2688_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~(~E*B)*~(~D*~A)))"),
- .INIT(32'h0050c0d0))
- _al_u2689 (
- .a(_al_u2688_o),
- .b(Nu9ow6),
- .c(Llaow6_lutinv),
- .d(F9vpw6),
- .e(Wkipw6),
- .o(_al_u2689_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u269 (
- .a(N9now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r4_o[23]),
- .d(vis_r1_o[23]),
- .o(_al_u269_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u2690 (
- .a(_al_u2667_o),
- .b(_al_u2683_o),
- .c(_al_u2685_o),
- .d(_al_u2689_o),
- .o(_al_u2690_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~C)*~(B*~A))"),
- .INIT(16'hbbb0))
- _al_u2691 (
- .a(_al_u2660_o),
- .b(_al_u2690_o),
- .c(HREADY),
- .d(R3vpw6),
- .o(Vqohu6));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'h53))
- _al_u2692 (
- .a(J0iax6),
- .b(L6lax6),
- .c(vis_pc_o[0]),
- .o(_al_u2692_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u2693 (
- .a(I30ju6_lutinv),
- .b(_al_u142_o),
- .c(Ufopw6),
- .o(Zicpw6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(~(E*D*C)*~(B*A))"),
- .INIT(32'h07777777))
- _al_u2694 (
- .a(_al_u148_o),
- .b(D6kiu6_lutinv),
- .c(_al_u1097_o),
- .d(T1vpw6),
- .e(Vygax6),
- .o(_al_u2694_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*C))"),
- .INIT(16'h0222))
- _al_u2695 (
- .a(_al_u2694_o),
- .b(_al_u1098_o),
- .c(Frziu6_lutinv),
- .d(_al_u690_o),
- .o(_al_u2695_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u2696 (
- .a(_al_u2628_o),
- .b(_al_u2695_o),
- .c(_al_u191_o),
- .o(_al_u2696_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2697 (
- .a(Vo3ju6_lutinv),
- .b(_al_u121_o),
- .c(_al_u1095_o),
- .o(_al_u2697_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2698 (
- .a(D6kiu6_lutinv),
- .b(_al_u1085_o),
- .c(Daiax6),
- .o(_al_u2698_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*C))"),
- .INIT(16'h0111))
- _al_u2699 (
- .a(_al_u2697_o),
- .b(_al_u2698_o),
- .c(_al_u394_o),
- .d(_al_u1463_o),
- .o(Rlcpw6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u27 (
- .a(Iqzhu6_lutinv),
- .b(Ahdbx6),
- .o(B74iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u270 (
- .a(Panow6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r5_o[23]),
- .d(vis_r3_o[23]),
- .o(_al_u270_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(~D*~C))"),
- .INIT(16'h8880))
- _al_u2700 (
- .a(_al_u2696_o),
- .b(_al_u2428_o),
- .c(Rlcpw6),
- .d(T1vpw6),
- .o(_al_u2700_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~C*~(~D*~A)))"),
- .INIT(16'hc0c4))
- _al_u2701 (
- .a(_al_u956_o),
- .b(_al_u1094_o),
- .c(_al_u685_o),
- .d(Vzupw6),
- .o(_al_u2701_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~B*~(C*A)))"),
- .INIT(16'h00ec))
- _al_u2702 (
- .a(L45iu6_lutinv),
- .b(Ru3pw6_lutinv),
- .c(_al_u121_o),
- .d(P5vpw6),
- .o(_al_u2702_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u2703 (
- .a(N98iu6_lutinv),
- .b(R3vpw6),
- .c(Ydopw6),
- .o(_al_u2703_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(B*~(D*~C)))"),
- .INIT(16'h1511))
- _al_u2704 (
- .a(_al_u2703_o),
- .b(N3ziu6),
- .c(_al_u155_o),
- .d(T1vpw6),
- .o(_al_u2704_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*~A)"),
- .INIT(16'h0010))
- _al_u2705 (
- .a(_al_u2701_o),
- .b(_al_u2702_o),
- .c(_al_u2704_o),
- .d(_al_u2379_o),
- .o(_al_u2705_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*C*~(D)*E+~(A)*C*D*E))"),
- .INIT(32'h404044cc))
- _al_u2706 (
- .a(Zicpw6_lutinv),
- .b(_al_u2700_o),
- .c(_al_u2705_o),
- .d(Mmjiu6_lutinv),
- .e(Ae0iu6_lutinv),
- .o(Hj9pw6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2707 (
- .a(Hj9pw6),
- .b(_al_u2561_o),
- .o(_al_u2707_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u2708 (
- .a(vis_pc_o[0]),
- .b(U8jax6),
- .c(Ydopw6),
- .o(_al_u2708_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~E*~D*~(~C*A)))"),
- .INIT(32'hcccccc08))
- _al_u2709 (
- .a(_al_u2692_o),
- .b(_al_u2707_o),
- .c(_al_u2568_o),
- .d(_al_u2564_o),
- .e(_al_u2708_o),
- .o(_al_u2709_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u271 (
- .a(V6now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r2_o[23]),
- .d(vis_r7_o[23]),
- .o(_al_u271_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u2710 (
- .a(_al_u2709_o),
- .b(_al_u2629_o),
- .c(_al_u2632_o),
- .o(_al_u2710_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2711 (
- .a(_al_u2710_o),
- .b(L18iu6),
- .o(W7cow6));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u2712 (
- .a(Hj9pw6),
- .b(_al_u2288_o),
- .c(_al_u1718_o),
- .o(S18iu6));
- AL_MAP_LUT2 #(
- .EQN("~(B*A)"),
- .INIT(4'h7))
- _al_u2714 (
- .a(W7cow6),
- .b(HREADY),
- .o(n3472));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(~C*A))"),
- .INIT(8'h31))
- _al_u2715 (
- .a(Y40ju6),
- .b(R3vpw6),
- .c(Ufopw6),
- .o(_al_u2715_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*~C*~A))"),
- .INIT(16'hc8cc))
- _al_u2716 (
- .a(P0biu6),
- .b(_al_u2715_o),
- .c(Aujpw6),
- .d(F9vpw6),
- .o(_al_u2716_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*B))"),
- .INIT(8'ha2))
- _al_u2717 (
- .a(Wh0ju6),
- .b(Qs0ju6_lutinv),
- .c(N4kax6),
- .o(_al_u2717_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~(B@A)))"),
- .INIT(16'h0f06))
- _al_u2718 (
- .a(N4kax6),
- .b(Rwjax6),
- .c(Sojax6),
- .d(Ssjax6),
- .o(_al_u2718_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(D*C*~A))"),
- .INIT(16'h2333))
- _al_u2719 (
- .a(_al_u2717_o),
- .b(_al_u2718_o),
- .c(P0kax6),
- .d(P14qw6),
- .o(_al_u2719_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u272 (
- .a(C7now6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r0_o[23]),
- .d(vis_r6_o[23]),
- .o(_al_u272_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~A*~(C*~B)))"),
- .INIT(16'h00ba))
- _al_u2720 (
- .a(_al_u1226_o),
- .b(Rwjax6),
- .c(Sojax6),
- .d(Ssjax6),
- .o(_al_u2720_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*~(D)+B*~(C)*D+B*C*D))"),
- .INIT(16'h4451))
- _al_u2721 (
- .a(_al_u2720_o),
- .b(Qxoiu6),
- .c(Gr2qw6),
- .d(P0kax6),
- .o(_al_u2721_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(D*~B)))"),
- .INIT(16'h7050))
- _al_u2722 (
- .a(_al_u2719_o),
- .b(_al_u2721_o),
- .c(J9kiu6_lutinv),
- .d(Skjax6),
- .o(_al_u2722_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(D*C*A))"),
- .INIT(16'h1333))
- _al_u2723 (
- .a(I30ju6_lutinv),
- .b(_al_u2722_o),
- .c(Mmjiu6_lutinv),
- .d(_al_u638_o),
- .o(_al_u2723_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~B*~(~C*A)))"),
- .INIT(16'hce00))
- _al_u2724 (
- .a(_al_u718_o),
- .b(_al_u1237_o),
- .c(P0kax6),
- .d(U9ypw6),
- .o(_al_u2724_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u2725 (
- .a(Cc2ju6_lutinv),
- .b(Hirpw6),
- .c(R3vpw6),
- .o(Em0ju6));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
- .INIT(16'h0511))
- _al_u2726 (
- .a(_al_u2724_o),
- .b(Em0ju6),
- .c(_al_u1087_o),
- .d(Ydopw6),
- .o(_al_u2726_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2727 (
- .a(_al_u2146_o),
- .b(_al_u155_o),
- .c(D6kiu6_lutinv),
- .o(_al_u2727_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~B*~(D*A)))"),
- .INIT(16'he0c0))
- _al_u2728 (
- .a(_al_u148_o),
- .b(_al_u159_o),
- .c(_al_u156_o),
- .d(Qyniu6_lutinv),
- .o(_al_u2728_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u2729 (
- .a(_al_u2726_o),
- .b(_al_u2727_o),
- .c(_al_u2728_o),
- .d(_al_u1718_o),
- .o(_al_u2729_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u273 (
- .a(_al_u269_o),
- .b(_al_u270_o),
- .c(_al_u271_o),
- .d(_al_u272_o),
- .o(C80iu6));
- AL_MAP_LUT4 #(
- .EQN("(C*~(D*~(B*~A)))"),
- .INIT(16'h40f0))
- _al_u2730 (
- .a(_al_u1684_o),
- .b(Ldoiu6_lutinv),
- .c(Yljiu6),
- .d(Yvjpw6),
- .o(_al_u2730_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hce7ecebe))
- _al_u2731 (
- .a(N4kax6),
- .b(P0kax6),
- .c(Rwjax6),
- .d(Ssjax6),
- .e(W4jax6),
- .o(_al_u2731_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~B*~(D*~C)))"),
- .INIT(16'h8a88))
- _al_u2732 (
- .a(_al_u1237_o),
- .b(_al_u2731_o),
- .c(_al_u1230_o),
- .d(Ssjax6),
- .o(_al_u2732_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D))"),
- .INIT(16'h22a0))
- _al_u2733 (
- .a(Wa0ju6),
- .b(Rwjax6),
- .c(Skjax6),
- .d(Ssjax6),
- .o(_al_u2733_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~D*~C*~B*~A))"),
- .INIT(32'h0000fffe))
- _al_u2734 (
- .a(_al_u2444_o),
- .b(_al_u2732_o),
- .c(_al_u2733_o),
- .d(_al_u1767_o),
- .e(Hgrpw6),
- .o(_al_u2734_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u2735 (
- .a(_al_u2723_o),
- .b(_al_u2729_o),
- .c(_al_u2730_o),
- .d(_al_u2734_o),
- .o(_al_u2735_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(B*~(D*~A)))"),
- .INIT(16'h0703))
- _al_u2736 (
- .a(_al_u2716_o),
- .b(_al_u2735_o),
- .c(Ae0iu6_lutinv),
- .d(_al_u398_o),
- .o(_al_u2736_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*C*B))"),
- .INIT(16'h5515))
- _al_u2737 (
- .a(_al_u1237_o),
- .b(_al_u398_o),
- .c(Aujpw6),
- .d(U9ypw6),
- .o(_al_u2737_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*C))"),
- .INIT(16'h0222))
- _al_u2738 (
- .a(_al_u2737_o),
- .b(_al_u718_o),
- .c(Hgrpw6),
- .d(Ydopw6),
- .o(_al_u2738_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(A*~(E*D*C)))"),
- .INIT(32'hc4444444))
- _al_u2739 (
- .a(_al_u2738_o),
- .b(Kxziu6_lutinv),
- .c(_al_u398_o),
- .d(Vzupw6),
- .e(Wkipw6),
- .o(_al_u2739_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u274 (
- .a(C80iu6),
- .b(Xuzhu6),
- .o(Mifpw6[23]));
- AL_MAP_LUT5 #(
- .EQN("(D*~C*~B*~(~E*A))"),
- .INIT(32'h03000100))
- _al_u2740 (
- .a(_al_u1487_o),
- .b(Bi0iu6),
- .c(_al_u669_o),
- .d(HREADY),
- .e(Skjax6),
- .o(_al_u2740_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2741 (
- .a(Llaow6_lutinv),
- .b(_al_u1660_o),
- .c(Ufopw6),
- .o(_al_u2741_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(~D*C))"),
- .INIT(16'h4404))
- _al_u2742 (
- .a(_al_u2739_o),
- .b(_al_u2740_o),
- .c(_al_u2741_o),
- .d(T1vpw6),
- .o(_al_u2742_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(~C*~A))"),
- .INIT(8'hc8))
- _al_u2743 (
- .a(_al_u1087_o),
- .b(_al_u705_o),
- .c(Hirpw6),
- .o(_al_u2743_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~B*~(~D*C*~A)))"),
- .INIT(32'hccdc0000))
- _al_u2744 (
- .a(_al_u1684_o),
- .b(_al_u2743_o),
- .c(_al_u1059_o),
- .d(Vzupw6),
- .e(Yvjpw6),
- .o(_al_u2744_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u2745 (
- .a(_al_u1684_o),
- .b(Qe8iu6_lutinv),
- .c(_al_u638_o),
- .o(_al_u2745_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*C))"),
- .INIT(16'h0111))
- _al_u2746 (
- .a(_al_u1604_o),
- .b(_al_u395_o),
- .c(_al_u1059_o),
- .d(_al_u1085_o),
- .o(_al_u2746_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u2747 (
- .a(_al_u398_o),
- .b(Pthiu6),
- .c(Yljiu6),
- .o(Kqziu6));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(D*B)))"),
- .INIT(16'hd050))
- _al_u2748 (
- .a(Kqziu6),
- .b(_al_u2320_o),
- .c(_al_u158_o),
- .d(R3vpw6),
- .o(_al_u2748_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(~A*~(~E*C*B)))"),
- .INIT(32'h00aa00ea))
- _al_u2749 (
- .a(_al_u2741_o),
- .b(Ia8iu6_lutinv),
- .c(_al_u398_o),
- .d(Hgrpw6),
- .e(Ufopw6),
- .o(_al_u2749_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u275 (
- .a(E8now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r4_o[24]),
- .d(vis_r5_o[24]),
- .o(O16pw6));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*A*~(E*D))"),
- .INIT(32'h00020202))
- _al_u2750 (
- .a(_al_u2746_o),
- .b(_al_u2748_o),
- .c(_al_u2749_o),
- .d(_al_u956_o),
- .e(_al_u957_o),
- .o(_al_u2750_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*A)"),
- .INIT(16'h0200))
- _al_u2751 (
- .a(_al_u2742_o),
- .b(_al_u2744_o),
- .c(_al_u2745_o),
- .d(_al_u2750_o),
- .o(_al_u2751_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~C)*~(B*~A))"),
- .INIT(16'hbbb0))
- _al_u2752 (
- .a(_al_u2736_o),
- .b(_al_u2751_o),
- .c(HREADY),
- .d(Aujpw6),
- .o(Axohu6));
- AL_MAP_LUT5 #(
- .EQN("(~E*~C*~(D*~B*A))"),
- .INIT(32'h00000d0f))
- _al_u2753 (
- .a(_al_u2709_o),
- .b(_al_u2629_o),
- .c(S18iu6),
- .d(_al_u2632_o),
- .e(Jxgax6),
- .o(_al_u2753_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2754 (
- .a(Sqfax6),
- .b(Uofax6),
- .o(Krzhu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2755 (
- .a(_al_u2753_o),
- .b(Krzhu6_lutinv),
- .o(n5754));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2756 (
- .a(A3iiu6),
- .b(_al_u2297_o),
- .c(Gh0iu6_lutinv),
- .d(Nxkbx6[3]),
- .o(_al_u2756_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(D*(E@B@A)))"),
- .INIT(32'h60f090f0))
- _al_u2757 (
- .a(Vtzhu6),
- .b(_al_u2642_o),
- .c(_al_u2756_o),
- .d(_al_u2288_o),
- .e(vis_pc_o[1]),
- .o(_al_u2757_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2758 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(Ud4iu6),
- .d(Xrxax6),
- .o(C4iiu6));
- AL_MAP_LUT5 #(
- .EQN("(C*~(D*B)*~(E*A))"),
- .INIT(32'h105030f0))
- _al_u2759 (
- .a(Ok8iu6),
- .b(Ql8iu6),
- .c(C4iiu6),
- .d(vis_ipsr_o[2]),
- .e(vis_pc_o[1]),
- .o(_al_u2759_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u276 (
- .a(C7now6_lutinv),
- .b(V6now6_lutinv),
- .c(vis_r6_o[24]),
- .d(vis_r2_o[24]),
- .o(V16pw6));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*~A))"),
- .INIT(8'h73))
- _al_u2760 (
- .a(_al_u2757_o),
- .b(_al_u2759_o),
- .c(Jl8iu6),
- .o(Egthu6));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2761 (
- .a(n5754),
- .b(_al_u2354_o),
- .c(Cq3qw6),
- .o(HADDR[29]));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
- .INIT(16'hb313))
- _al_u2762 (
- .a(_al_u2753_o),
- .b(_al_u2522_o),
- .c(Krzhu6_lutinv),
- .d(H4ypw6),
- .o(HADDR[10]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2763 (
- .a(n5754),
- .b(_al_u2620_o),
- .c(Yf1qw6),
- .o(HADDR[9]));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
- .INIT(16'hb313))
- _al_u2764 (
- .a(_al_u2753_o),
- .b(_al_u2518_o),
- .c(Krzhu6_lutinv),
- .d(Ke1qw6),
- .o(HADDR[8]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2765 (
- .a(n5754),
- .b(_al_u2530_o),
- .c(Nd3qw6),
- .o(HADDR[7]));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
- .INIT(16'hb313))
- _al_u2766 (
- .a(_al_u2753_o),
- .b(_al_u2546_o),
- .c(Krzhu6_lutinv),
- .d(Vn9bx6),
- .o(HADDR[6]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2767 (
- .a(n5754),
- .b(_al_u2605_o),
- .c(Bf3qw6),
- .o(HADDR[5]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2768 (
- .a(n5754),
- .b(_al_u2615_o),
- .c(Pg3qw6),
- .o(HADDR[4]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2769 (
- .a(n5754),
- .b(_al_u2358_o),
- .c(Ydgax6),
- .o(HADDR[31]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u277 (
- .a(N9now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[24]),
- .d(vis_r1_o[24]),
- .o(_al_u277_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u2770 (
- .a(Iqzhu6_lutinv),
- .b(J0gax6),
- .c(Nmfax6),
- .o(Wqzhu6));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u2771 (
- .a(Wqzhu6),
- .b(Nrqpw6),
- .c(P23qw6),
- .o(Am6iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("~(B*~(C)*~((D*A))+B*C*~((D*A))+~(B)*C*(D*A)+B*C*(D*A))"),
- .INIT(16'h1b33))
- _al_u2772 (
- .a(_al_u2753_o),
- .b(_al_u2610_o),
- .c(Am6iu6_lutinv),
- .d(Krzhu6_lutinv),
- .o(HADDR[3]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u2773 (
- .a(Wqzhu6),
- .b(Gpqpw6),
- .c(Xn7ax6),
- .o(_al_u2773_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C)*~((D*A))+~B*C*~((D*A))+~(~B)*C*(D*A)+~B*C*(D*A))"),
- .INIT(16'hb133))
- _al_u2774 (
- .a(_al_u2753_o),
- .b(_al_u2757_o),
- .c(_al_u2773_o),
- .d(Krzhu6_lutinv),
- .o(HADDR[2]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2775 (
- .a(n5754),
- .b(_al_u2494_o),
- .c(Nwdbx6),
- .o(HADDR[20]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2776 (
- .a(n5754),
- .b(_al_u2490_o),
- .c(Ym3qw6),
- .o(HADDR[19]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2777 (
- .a(n5754),
- .b(_al_u2486_o),
- .c(Jl3qw6),
- .o(HADDR[18]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2778 (
- .a(n5754),
- .b(_al_u2482_o),
- .c(Yubbx6),
- .o(HADDR[17]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2779 (
- .a(n5754),
- .b(_al_u2478_o),
- .c(Dpwpw6),
- .o(HADDR[16]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u278 (
- .a(Panow6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r3_o[24]),
- .d(vis_r0_o[24]),
- .o(_al_u278_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2780 (
- .a(n5754),
- .b(_al_u2542_o),
- .c(Ad7ax6),
- .o(HADDR[15]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2781 (
- .a(n5754),
- .b(_al_u2538_o),
- .c(Yvabx6),
- .o(HADDR[14]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2782 (
- .a(n5754),
- .b(_al_u2534_o),
- .c(Kl8ax6),
- .o(HADDR[13]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2783 (
- .a(n5754),
- .b(_al_u2356_o),
- .c(Wc2qw6),
- .o(HADDR[30]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2784 (
- .a(n5754),
- .b(_al_u2587_o),
- .c(Vqgax6),
- .o(HADDR[28]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2785 (
- .a(n5754),
- .b(_al_u2514_o),
- .c(Q4dbx6),
- .o(HADDR[27]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2786 (
- .a(n5754),
- .b(_al_u2510_o),
- .c(Nlcbx6),
- .o(HADDR[26]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2787 (
- .a(n5754),
- .b(_al_u2581_o),
- .c(Q2ibx6),
- .o(HADDR[25]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2788 (
- .a(n5754),
- .b(_al_u2571_o),
- .c(No3qw6),
- .o(HADDR[24]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2789 (
- .a(n5754),
- .b(_al_u2506_o),
- .c(H7hbx6),
- .o(HADDR[23]));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u279 (
- .a(O16pw6),
- .b(V16pw6),
- .c(_al_u277_o),
- .d(_al_u278_o),
- .o(V70iu6));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2790 (
- .a(n5754),
- .b(_al_u2502_o),
- .c(Bvfbx6),
- .o(HADDR[22]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2791 (
- .a(n5754),
- .b(_al_u2498_o),
- .c(Ufebx6),
- .o(HADDR[21]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2792 (
- .a(n5754),
- .b(_al_u2456_o),
- .c(Su8ax6),
- .o(HADDR[12]));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'hb1))
- _al_u2793 (
- .a(n5754),
- .b(_al_u2526_o),
- .c(B79bx6),
- .o(HADDR[11]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u2794 (
- .a(Wqzhu6),
- .b(Dugax6),
- .o(Qc3pw6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("~((~B*~(~D*~C))*~(E)*~(A)+(~B*~(~D*~C))*E*~(A)+~((~B*~(~D*~C)))*E*A+(~B*~(~D*~C))*E*A)"),
- .INIT(32'h4445eeef))
- _al_u2795 (
- .a(n5754),
- .b(_al_u2710_o),
- .c(_al_u2288_o),
- .d(_al_u1138_o),
- .e(Qc3pw6_lutinv),
- .o(HSIZE[1]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2796 (
- .a(Iqzhu6_lutinv),
- .b(Gnqpw6),
- .o(Sq4iu6));
- AL_MAP_LUT3 #(
- .EQN("~(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'h4e))
- _al_u2797 (
- .a(n5754),
- .b(Fnpiu6),
- .c(Sq4iu6),
- .o(HWRITE));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u2798 (
- .a(_al_u1061_o),
- .b(_al_u2388_o),
- .o(Bepiu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u2799 (
- .a(HREADY),
- .b(Fm7ax6),
- .c(Gr2qw6),
- .d(Isjpw6),
- .o(_al_u2799_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u28 (
- .a(Iqzhu6_lutinv),
- .b(H0ebx6),
- .o(I74iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u280 (
- .a(V70iu6),
- .b(Xuzhu6),
- .o(Mifpw6[24]));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~D*B*~A))"),
- .INIT(16'hf0b0))
- _al_u2800 (
- .a(LOCKUP),
- .b(Bepiu6),
- .c(_al_u2799_o),
- .d(Ydopw6),
- .o(_al_u2800_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u2801 (
- .a(_al_u2800_o),
- .b(Tu4iu6),
- .c(O34iu6),
- .d(I4rpw6),
- .o(_al_u2801_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~(D*~(C*B)))"),
- .INIT(16'h7f55))
- _al_u2802 (
- .a(_al_u2801_o),
- .b(Scbiu6),
- .c(T24iu6),
- .d(Lmkbx6),
- .o(Pfphu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2803 (
- .a(_al_u2471_o),
- .b(_al_u2469_o),
- .c(O34iu6),
- .d(Nu5bx6),
- .o(Xfliu6));
- AL_MAP_LUT5 #(
- .EQN("(C*~(E*B)*~(D*A))"),
- .INIT(32'h103050f0))
- _al_u2804 (
- .a(Ok8iu6),
- .b(Ql8iu6),
- .c(Xfliu6),
- .d(vis_pc_o[0]),
- .e(vis_ipsr_o[1]),
- .o(_al_u2804_o));
- AL_MAP_LUT5 #(
- .EQN("~(B*~(~E*~D*C*A))"),
- .INIT(32'h333333b3))
- _al_u2805 (
- .a(Iiliu6),
- .b(_al_u2804_o),
- .c(Jl8iu6),
- .d(_al_u1509_o),
- .e(_al_u1223_o),
- .o(Irrhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2806 (
- .a(Szohu6),
- .b(Xq2bx6),
- .o(_al_u2806_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(~C*A))"),
- .INIT(8'h31))
- _al_u2807 (
- .a(Cyohu6),
- .b(_al_u2806_o),
- .c(Hg3bx6),
- .o(_al_u2807_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~D*C)*~(~E*B))"),
- .INIT(32'haa0a2202))
- _al_u2808 (
- .a(_al_u2807_o),
- .b(Ezohu6),
- .c(N0phu6),
- .d(Fe2bx6),
- .e(P33bx6),
- .o(_al_u2808_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*B)*~(~C*A))"),
- .INIT(16'hf531))
- _al_u2809 (
- .a(Qyohu6),
- .b(Jyohu6),
- .c(Bc3bx6),
- .d(Tcipw6),
- .o(Nj5iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u281 (
- .a(U9now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[25]),
- .d(vis_r0_o[25]),
- .o(_al_u281_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~E*C)*~(~D*B))"),
- .INIT(32'haa220a02))
- _al_u2810 (
- .a(Nj5iu6),
- .b(T3phu6),
- .c(Xyohu6),
- .d(Lr9bx6),
- .e(V73bx6),
- .o(_al_u2810_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(~D*~C))"),
- .INIT(16'h8880))
- _al_u2811 (
- .a(_al_u2808_o),
- .b(_al_u2810_o),
- .c(Qh5iu6),
- .d(F17ax6),
- .o(_al_u2811_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2812 (
- .a(R2phu6),
- .b(Z71bx6),
- .o(_al_u2812_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(~C*A))"),
- .INIT(8'h31))
- _al_u2813 (
- .a(D2phu6),
- .b(_al_u2812_o),
- .c(Xo1bx6),
- .o(_al_u2813_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~D*C)*~(~E*B))"),
- .INIT(32'haa0a2202))
- _al_u2814 (
- .a(_al_u2813_o),
- .b(U0phu6),
- .c(G0phu6),
- .d(Li2bx6),
- .e(V52bx6),
- .o(_al_u2814_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2815 (
- .a(F3phu6),
- .b(Fc1bx6),
- .o(_al_u2815_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2816 (
- .a(Uwdpw6),
- .b(Rijbx6),
- .o(_al_u2816_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2817 (
- .a(Nwdpw6),
- .b(Dv2bx6),
- .o(_al_u2817_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2818 (
- .a(Gwdpw6),
- .b(Rm2bx6),
- .o(_al_u2818_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*~B*A)"),
- .INIT(32'h00000002))
- _al_u2819 (
- .a(_al_u2814_o),
- .b(_al_u2815_o),
- .c(_al_u2816_o),
- .d(_al_u2817_o),
- .e(_al_u2818_o),
- .o(_al_u2819_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u282 (
- .a(V6now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r2_o[25]),
- .d(vis_r5_o[25]),
- .o(_al_u282_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2820 (
- .a(B1phu6),
- .b(P12bx6),
- .o(_al_u2820_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(~C*A))"),
- .INIT(8'h31))
- _al_u2821 (
- .a(Lzohu6),
- .b(_al_u2820_o),
- .c(Jz2bx6),
- .o(_al_u2821_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~D*C)*~(~E*B))"),
- .INIT(32'haa0a2202))
- _al_u2822 (
- .a(_al_u2821_o),
- .b(P1phu6),
- .c(I1phu6),
- .d(Dt1bx6),
- .e(Jx1bx6),
- .o(_al_u2822_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2823 (
- .a(W1phu6),
- .b(Yxrpw6),
- .o(_al_u2823_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2824 (
- .a(Y2phu6),
- .b(Lg1bx6),
- .o(_al_u2824_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2825 (
- .a(Bxdpw6),
- .b(Us3bx6),
- .o(_al_u2825_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2826 (
- .a(C5phu6),
- .b(Aa2bx6),
- .o(_al_u2826_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*~B*A)"),
- .INIT(32'h00000002))
- _al_u2827 (
- .a(_al_u2822_o),
- .b(_al_u2823_o),
- .c(_al_u2824_o),
- .d(_al_u2825_o),
- .e(_al_u2826_o),
- .o(_al_u2827_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2828 (
- .a(H4phu6),
- .b(Gihbx6),
- .o(_al_u2828_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2829 (
- .a(A4phu6),
- .b(Mk3bx6),
- .o(_al_u2829_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u283 (
- .a(N9now6_lutinv),
- .b(Panow6_lutinv),
- .c(vis_r3_o[25]),
- .d(vis_r1_o[25]),
- .o(_al_u283_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2830 (
- .a(V4phu6),
- .b(N5bbx6),
- .o(_al_u2830_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~A*~(~E*D))"),
- .INIT(32'h01010001))
- _al_u2831 (
- .a(_al_u2828_o),
- .b(_al_u2829_o),
- .c(_al_u2830_o),
- .d(M3phu6),
- .e(Qo3bx6),
- .o(_al_u2831_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u2832 (
- .a(Ag5iu6),
- .b(Zdtpw6),
- .o(_al_u2832_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2833 (
- .a(O4phu6),
- .b(Muhbx6),
- .o(_al_u2833_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u2834 (
- .a(HWDATA[28]),
- .b(Ch5iu6_lutinv),
- .c(Mnmpw6),
- .o(_al_u2834_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~C*~B*~(~E*A))"),
- .INIT(32'h00030001))
- _al_u2835 (
- .a(K2phu6),
- .b(_al_u2832_o),
- .c(_al_u2833_o),
- .d(_al_u2834_o),
- .e(Rk1bx6),
- .o(_al_u2835_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2836 (
- .a(J5phu6),
- .b(U31bx6),
- .o(_al_u2836_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*B*A*~(~E*D))"),
- .INIT(32'h08080008))
- _al_u2837 (
- .a(_al_u2831_o),
- .b(_al_u2835_o),
- .c(_al_u2836_o),
- .d(Zzohu6),
- .e(Y0gbx6),
- .o(_al_u2837_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2838 (
- .a(_al_u2811_o),
- .b(_al_u2819_o),
- .c(_al_u2827_o),
- .d(_al_u2837_o),
- .o(_al_u2838_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~B)*~(~C*A))"),
- .INIT(16'hf5c4))
- _al_u2839 (
- .a(Npghu6),
- .b(Li5iu6),
- .c(Pdyax6),
- .d(T8kbx6),
- .o(_al_u2839_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u284 (
- .a(C7now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r6_o[25]),
- .d(vis_r4_o[25]),
- .o(_al_u284_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u2840 (
- .a(_al_u2365_o),
- .b(_al_u394_o),
- .c(Ldoiu6_lutinv),
- .d(R3vpw6),
- .o(Qa5iu6));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u2841 (
- .a(Qa5iu6),
- .b(_al_u1625_o),
- .c(Owoiu6),
- .d(_al_u685_o),
- .e(Z9opw6),
- .o(_al_u2841_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u2842 (
- .a(Bepiu6),
- .b(TXEV),
- .c(Wofiu6_lutinv),
- .d(Z9opw6),
- .o(_al_u2842_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*~(E*~(B*A))))"),
- .INIT(32'h070f000f))
- _al_u2843 (
- .a(_al_u2838_o),
- .b(_al_u2839_o),
- .c(_al_u2841_o),
- .d(_al_u2842_o),
- .e(Kqhbx6),
- .o(J4xhu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(C*~B*A))"),
- .INIT(16'h00df))
- _al_u2844 (
- .a(_al_u2566_o),
- .b(J0iax6),
- .c(U8jax6),
- .d(Ydopw6),
- .o(_al_u2844_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*A)"),
- .INIT(16'h0200))
- _al_u2845 (
- .a(_al_u2707_o),
- .b(_al_u2568_o),
- .c(_al_u2564_o),
- .d(HREADY),
- .o(_al_u2845_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2846 (
- .a(HALTED),
- .b(Jcpow6),
- .c(Rzciu6_lutinv),
- .d(C1wpw6),
- .o(_al_u2846_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(C*~B*~A))"),
- .INIT(16'h00ef))
- _al_u2847 (
- .a(LOCKUP),
- .b(_al_u2844_o),
- .c(_al_u2845_o),
- .d(_al_u2846_o),
- .o(R05iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u2848 (
- .a(R05iu6),
- .b(Wofiu6_lutinv),
- .o(Vihiu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2849 (
- .a(Vihiu6_lutinv),
- .b(X7ypw6),
- .o(A25iu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u285 (
- .a(_al_u281_o),
- .b(_al_u282_o),
- .c(_al_u283_o),
- .d(_al_u284_o),
- .o(O70iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2850 (
- .a(A25iu6),
- .b(R05iu6),
- .c(Dxvpw6),
- .d(E6iax6),
- .o(Uu8iu6));
- AL_MAP_LUT5 #(
- .EQN("(~D*A*~(B*~(~E*C)))"),
- .INIT(32'h002200a2))
- _al_u2851 (
- .a(Vihiu6_lutinv),
- .b(_al_u2566_o),
- .c(vis_pc_o[0]),
- .d(U8jax6),
- .e(X7ypw6),
- .o(Uy4iu6));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(~D*C*A))"),
- .INIT(16'h3313))
- _al_u2852 (
- .a(HRDATA[14]),
- .b(Jvvpw6),
- .c(vis_tbit_o),
- .d(Sz3qw6),
- .o(_al_u2852_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2853 (
- .a(vis_pc_o[0]),
- .b(Ydopw6),
- .o(_al_u2853_o));
- AL_MAP_LUT5 #(
- .EQN("(A*((E*~C)*~(B)*~(D)+(E*~C)*B*~(D)+~((E*~C))*B*D+(E*~C)*B*D))"),
- .INIT(32'h880a8800))
- _al_u2854 (
- .a(Vihiu6_lutinv),
- .b(Rw8iu6),
- .c(_al_u2852_o),
- .d(_al_u2853_o),
- .e(U8jax6),
- .o(_al_u2854_o));
- AL_MAP_LUT4 #(
- .EQN("~(~C*A*~(D*B))"),
- .INIT(16'hfdf5))
- _al_u2855 (
- .a(Uu8iu6),
- .b(Uy4iu6),
- .c(_al_u2854_o),
- .d(Swjbx6),
- .o(Gfvhu6));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u2856 (
- .a(Jvvpw6),
- .b(vis_tbit_o),
- .c(Sz3qw6),
- .o(_al_u2856_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*A)"),
- .INIT(16'h0200))
- _al_u2857 (
- .a(Vihiu6_lutinv),
- .b(_al_u2856_o),
- .c(_al_u2853_o),
- .d(U8jax6),
- .o(_al_u2857_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u2858 (
- .a(Wz4iu6),
- .b(_al_u2853_o),
- .c(L4lax6),
- .d(X7ypw6),
- .o(_al_u2858_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~((~D*~C)*~(E)*~(A)+(~D*~C)*E*~(A)+~((~D*~C))*E*A+(~D*~C)*E*A))"),
- .INIT(32'h11103332))
- _al_u2859 (
- .a(R05iu6),
- .b(_al_u2846_o),
- .c(Wofiu6_lutinv),
- .d(_al_u2858_o),
- .e(Hgrpw6),
- .o(_al_u2859_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u286 (
- .a(O70iu6),
- .b(Xuzhu6),
- .o(Mifpw6[25]));
- AL_MAP_LUT4 #(
- .EQN("~(C*~B*~(D*A))"),
- .INIT(16'hefcf))
- _al_u2860 (
- .a(Uy4iu6),
- .b(_al_u2857_o),
- .c(_al_u2859_o),
- .d(Tajax6),
- .o(X4xhu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u2861 (
- .a(Cq3qw6),
- .b(Vqgax6),
- .c(Wc2qw6),
- .d(Ydgax6),
- .o(_al_u2861_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2862 (
- .a(n5754),
- .b(_al_u2861_o),
- .c(HREADY),
- .o(Nr4iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("~(~(D*B)*~(C)*~(A)+~(D*B)*C*~(A)+~(~(D*B))*C*A+~(D*B)*C*A)"),
- .INIT(16'h4e0a))
- _al_u2863 (
- .a(Nr4iu6_lutinv),
- .b(Ur4iu6),
- .c(Sq4iu6),
- .d(Dg2qw6),
- .o(G6xhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2864 (
- .a(A25iu6),
- .b(Uy4iu6),
- .c(T5mpw6),
- .d(Tujbx6),
- .o(_al_u2864_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u2865 (
- .a(Vihiu6_lutinv),
- .b(Wz4iu6),
- .c(_al_u2853_o),
- .o(Kq7iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2866 (
- .a(R05iu6),
- .b(_al_u2846_o),
- .c(O34iu6),
- .d(S7mpw6),
- .o(_al_u2866_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u2867 (
- .a(Kq7iu6),
- .b(_al_u2866_o),
- .c(HRDATA[17]),
- .o(_al_u2867_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u2868 (
- .a(Vihiu6_lutinv),
- .b(_al_u2856_o),
- .c(_al_u2853_o),
- .d(U8jax6),
- .o(Pp7iu6));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2869 (
- .a(_al_u2864_o),
- .b(_al_u2867_o),
- .c(Pp7iu6),
- .d(HRDATA[1]),
- .o(Nhthu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u287 (
- .a(C7now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r6_o[26]),
- .d(vis_r7_o[26]),
- .o(_al_u287_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2870 (
- .a(A25iu6),
- .b(Uy4iu6),
- .c(Jpmpw6),
- .d(Usjbx6),
- .o(_al_u2870_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2871 (
- .a(R05iu6),
- .b(_al_u2846_o),
- .c(Ud4iu6),
- .d(Irmpw6),
- .o(_al_u2871_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u2872 (
- .a(Kq7iu6),
- .b(_al_u2871_o),
- .c(HRDATA[18]),
- .o(_al_u2872_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2873 (
- .a(_al_u2870_o),
- .b(_al_u2872_o),
- .c(Pp7iu6),
- .d(HRDATA[2]),
- .o(Uhthu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2874 (
- .a(A25iu6),
- .b(Uy4iu6),
- .c(Vqjbx6),
- .d(Xiipw6),
- .o(_al_u2874_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2875 (
- .a(R05iu6),
- .b(_al_u2846_o),
- .c(H34iu6),
- .d(Wkipw6),
- .o(_al_u2875_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u2876 (
- .a(Kq7iu6),
- .b(_al_u2875_o),
- .c(HRDATA[19]),
- .o(_al_u2876_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2877 (
- .a(_al_u2874_o),
- .b(_al_u2876_o),
- .c(Pp7iu6),
- .d(HRDATA[3]),
- .o(Bithu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2878 (
- .a(A25iu6),
- .b(Kq7iu6),
- .c(HRDATA[20]),
- .d(G2iax6),
- .o(_al_u2878_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2879 (
- .a(Uy4iu6),
- .b(R05iu6),
- .c(Jgxpw6),
- .d(Tokax6),
- .o(_al_u2879_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u288 (
- .a(N9now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r5_o[26]),
- .d(vis_r1_o[26]),
- .o(_al_u288_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2880 (
- .a(_al_u2878_o),
- .b(_al_u2879_o),
- .c(Pp7iu6),
- .d(HRDATA[4]),
- .o(Iithu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2881 (
- .a(A25iu6),
- .b(Kq7iu6),
- .c(HRDATA[21]),
- .d(F4iax6),
- .o(_al_u2881_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2882 (
- .a(Uy4iu6),
- .b(R05iu6),
- .c(Jckax6),
- .d(Kakax6),
- .o(_al_u2882_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2883 (
- .a(_al_u2881_o),
- .b(_al_u2882_o),
- .c(Pp7iu6),
- .d(HRDATA[5]),
- .o(Pithu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2884 (
- .a(Uy4iu6),
- .b(Kq7iu6),
- .c(HRDATA[22]),
- .d(L8kax6),
- .o(E6hiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2885 (
- .a(A25iu6),
- .b(R05iu6),
- .c(E8iax6),
- .d(W4jax6),
- .o(Q5hiu6));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2886 (
- .a(E6hiu6),
- .b(Q5hiu6),
- .c(Pp7iu6),
- .d(HRDATA[6]),
- .o(Withu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2887 (
- .a(A25iu6),
- .b(Kq7iu6),
- .c(HRDATA[23]),
- .d(Zqiax6),
- .o(_al_u2887_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2888 (
- .a(R05iu6),
- .b(_al_u2846_o),
- .c(Df4iu6),
- .d(N4kax6),
- .o(_al_u2888_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u2889 (
- .a(Uy4iu6),
- .b(_al_u2888_o),
- .c(O2kax6),
- .o(_al_u2889_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u289 (
- .a(V6now6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r2_o[26]),
- .d(vis_r0_o[26]),
- .o(_al_u289_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2890 (
- .a(_al_u2887_o),
- .b(_al_u2889_o),
- .c(Pp7iu6),
- .d(HRDATA[7]),
- .o(Djthu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2891 (
- .a(A25iu6),
- .b(Kq7iu6),
- .c(HRDATA[25]),
- .d(Xuiax6),
- .o(_al_u2891_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2892 (
- .a(Uy4iu6),
- .b(R05iu6),
- .c(Rwjax6),
- .d(Sujax6),
- .o(_al_u2892_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2893 (
- .a(_al_u2891_o),
- .b(_al_u2892_o),
- .c(Pp7iu6),
- .d(HRDATA[9]),
- .o(Jzuhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2894 (
- .a(Uy4iu6),
- .b(Kq7iu6),
- .c(HRDATA[26]),
- .d(Sqjax6),
- .o(Spciu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2895 (
- .a(A25iu6),
- .b(R05iu6),
- .c(Ssjax6),
- .d(Wwiax6),
- .o(Epciu6));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2896 (
- .a(Spciu6),
- .b(Epciu6),
- .c(Pp7iu6),
- .d(HRDATA[10]),
- .o(Qzuhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2897 (
- .a(Uy4iu6),
- .b(Kq7iu6),
- .c(HRDATA[27]),
- .d(Smjax6),
- .o(Onciu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2898 (
- .a(A25iu6),
- .b(R05iu6),
- .c(Sojax6),
- .d(Wyiax6),
- .o(Anciu6));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2899 (
- .a(Onciu6),
- .b(Anciu6),
- .c(Pp7iu6),
- .d(HRDATA[11]),
- .o(Xzuhu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u29 (
- .a(Iqzhu6_lutinv),
- .b(Ojebx6),
- .o(P74iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u290 (
- .a(Panow6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r3_o[26]),
- .d(vis_r4_o[26]),
- .o(_al_u290_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2900 (
- .a(Uy4iu6),
- .b(Kq7iu6),
- .c(HRDATA[28]),
- .d(Sijax6),
- .o(Klciu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2901 (
- .a(A25iu6),
- .b(R05iu6),
- .c(Skjax6),
- .d(W0jax6),
- .o(Wkciu6));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2902 (
- .a(Klciu6),
- .b(Wkciu6),
- .c(Pp7iu6),
- .d(HRDATA[12]),
- .o(E0vhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2903 (
- .a(A25iu6),
- .b(Kq7iu6),
- .c(HRDATA[24]),
- .d(Ysiax6),
- .o(_al_u2903_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2904 (
- .a(Uy4iu6),
- .b(R05iu6),
- .c(P0kax6),
- .d(Qyjax6),
- .o(_al_u2904_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2905 (
- .a(_al_u2903_o),
- .b(_al_u2904_o),
- .c(Pp7iu6),
- .d(HRDATA[8]),
- .o(V5vhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2906 (
- .a(A25iu6),
- .b(Kq7iu6),
- .c(HRDATA[16]),
- .d(Xdspw6),
- .o(_al_u2906_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2907 (
- .a(R05iu6),
- .b(_al_u2846_o),
- .c(T24iu6),
- .d(Wfspw6),
- .o(_al_u2907_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u2908 (
- .a(Uy4iu6),
- .b(_al_u2907_o),
- .c(Tcjax6),
- .o(_al_u2908_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2909 (
- .a(_al_u2906_o),
- .b(_al_u2908_o),
- .c(Pp7iu6),
- .d(HRDATA[0]),
- .o(S7vhu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u291 (
- .a(_al_u287_o),
- .b(_al_u288_o),
- .c(_al_u289_o),
- .d(_al_u290_o),
- .o(H70iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2910 (
- .a(A25iu6),
- .b(Kq7iu6),
- .c(HRDATA[29]),
- .d(W2jax6),
- .o(_al_u2910_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2911 (
- .a(Uy4iu6),
- .b(R05iu6),
- .c(P14qw6),
- .d(Sgjax6),
- .o(_al_u2911_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2912 (
- .a(_al_u2910_o),
- .b(_al_u2911_o),
- .c(Pp7iu6),
- .d(HRDATA[13]),
- .o(Wgvhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2913 (
- .a(A25iu6),
- .b(Kq7iu6),
- .c(HRDATA[31]),
- .d(W8hbx6),
- .o(_al_u2913_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2914 (
- .a(R05iu6),
- .b(_al_u2846_o),
- .c(Z54iu6),
- .d(U9ypw6),
- .o(_al_u2914_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u2915 (
- .a(Uy4iu6),
- .b(_al_u2914_o),
- .c(Sejax6),
- .o(_al_u2915_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*C))"),
- .INIT(16'hf777))
- _al_u2916 (
- .a(_al_u2913_o),
- .b(_al_u2915_o),
- .c(Pp7iu6),
- .d(HRDATA[15]),
- .o(Rhvhu6));
- AL_MAP_LUT3 #(
- .EQN("(B*~(~C*~A))"),
- .INIT(8'hc8))
- _al_u2917 (
- .a(_al_u2753_o),
- .b(HREADY),
- .c(Wvgax6),
- .o(_al_u2917_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*~B))"),
- .INIT(8'ha8))
- _al_u2918 (
- .a(_al_u126_o),
- .b(Gpqpw6),
- .c(Nmfax6),
- .o(K7xiu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2919 (
- .a(K7xiu6_lutinv),
- .b(J0gax6),
- .o(_al_u2919_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u292 (
- .a(H70iu6),
- .b(Xuzhu6),
- .o(Mifpw6[26]));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*(C*~B)*~(D)*~(E)+A*(C*~B)*~(D)*~(E)+A*~((C*~B))*D*~(E)+A*(C*~B)*D*~(E)+~(A)*~((C*~B))*~(D)*E+A*~((C*~B))*~(D)*E+~(A)*(C*~B)*~(D)*E+A*(C*~B)*~(D)*E)"),
- .INIT(32'h00ffaa30))
- _al_u2920 (
- .a(_al_u2917_o),
- .b(_al_u2919_o),
- .c(Iqzhu6_lutinv),
- .d(Sqfax6),
- .e(Uofax6),
- .o(Pkhpw6[1]));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u2921 (
- .a(_al_u2710_o),
- .b(_al_u1138_o),
- .o(_al_u2921_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2922 (
- .a(_al_u2921_o),
- .b(Iiliu6),
- .o(Hz0iu6));
- AL_MAP_LUT4 #(
- .EQN("(A*~((D*C))*~(B)+A*(D*C)*~(B)+~(A)*(D*C)*B+A*(D*C)*B)"),
- .INIT(16'he222))
- _al_u2923 (
- .a(Hz0iu6),
- .b(n5754),
- .c(Qc3pw6_lutinv),
- .d(Vj3qw6),
- .o(HADDR[1]));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u2924 (
- .a(_al_u2921_o),
- .b(_al_u2288_o),
- .c(Qk9pw6_lutinv),
- .o(_al_u2924_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~((D*~C))*~(B)+A*(D*~C)*~(B)+~(A)*(D*~C)*B+A*(D*~C)*B)"),
- .INIT(16'h2e22))
- _al_u2925 (
- .a(_al_u2924_o),
- .b(n5754),
- .c(Wqzhu6),
- .d(Ksgax6),
- .o(HSIZE[0]));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u2926 (
- .a(_al_u2710_o),
- .b(Ay8iu6),
- .c(J71iu6_lutinv),
- .o(My0iu6));
- AL_MAP_LUT5 #(
- .EQN("(B*~((E*D*~C))*~(A)+B*(E*D*~C)*~(A)+~(B)*(E*D*~C)*A+B*(E*D*~C)*A)"),
- .INIT(32'h4e444444))
- _al_u2927 (
- .a(n5754),
- .b(My0iu6),
- .c(Wqzhu6),
- .d(E4yhu6),
- .e(Qehbx6),
- .o(HADDR[0]));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(D*~B*A))"),
- .INIT(16'h0d0f))
- _al_u2928 (
- .a(Am6iu6_lutinv),
- .b(_al_u2773_o),
- .c(Bf3qw6),
- .d(Pg3qw6),
- .o(_al_u2928_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2929 (
- .a(Ad7ax6),
- .b(Dpwpw6),
- .c(Jl3qw6),
- .d(Yvabx6),
- .o(_al_u2929_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u293 (
- .a(V6now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r2_o[27]),
- .d(vis_r4_o[27]),
- .o(_al_u293_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u2930 (
- .a(_al_u2929_o),
- .b(Kl8ax6),
- .c(Su8ax6),
- .d(Ym3qw6),
- .e(Yubbx6),
- .o(_al_u2930_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u2931 (
- .a(Dpwpw6),
- .b(Jl3qw6),
- .c(Ym3qw6),
- .d(Yubbx6),
- .o(Mz6iu6));
- AL_MAP_LUT5 #(
- .EQN("(~D*C*A*~(E@B))"),
- .INIT(32'h00800020))
- _al_u2932 (
- .a(Mz6iu6),
- .b(Ad7ax6),
- .c(Kl8ax6),
- .d(Su8ax6),
- .e(Yvabx6),
- .o(_al_u2932_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*D*~C*~B*A)"),
- .INIT(32'h00000200))
- _al_u2933 (
- .a(Mz6iu6),
- .b(Ad7ax6),
- .c(Kl8ax6),
- .d(Su8ax6),
- .e(Yvabx6),
- .o(_al_u2933_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*~C*~B))"),
- .INIT(16'h5554))
- _al_u2934 (
- .a(_al_u2928_o),
- .b(_al_u2930_o),
- .c(_al_u2932_o),
- .d(_al_u2933_o),
- .o(_al_u2934_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2935 (
- .a(_al_u2930_o),
- .b(Pg3qw6),
- .o(J17iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*~C*B))"),
- .INIT(16'h5155))
- _al_u2936 (
- .a(_al_u2934_o),
- .b(J17iu6_lutinv),
- .c(Am6iu6_lutinv),
- .d(_al_u2773_o),
- .o(_al_u2936_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*~A)"),
- .INIT(16'h4000))
- _al_u2937 (
- .a(_al_u2936_o),
- .b(Nd3qw6),
- .c(Vn9bx6),
- .d(Yf1qw6),
- .o(_al_u2937_o));
- AL_MAP_LUT5 #(
- .EQN("(~(B)*~(C)*~(D)*~((~E*~A))+B*~(C)*~(D)*~((~E*~A))+~(B)*C*~(D)*~((~E*~A))+B*C*~(D)*~((~E*~A))+~(B)*~(C)*D*~((~E*~A))+B*~(C)*D*~((~E*~A))+~(B)*C*D*~((~E*~A))+B*~(C)*~(D)*(~E*~A)+B*C*~(D)*(~E*~A)+~(B)*~(C)*D*(~E*~A)+B*~(C)*D*(~E*~A)+~(B)*C*D*(~E*~A))"),
- .INIT(32'h3fff3fee))
- _al_u2938 (
- .a(_al_u2773_o),
- .b(Nd3qw6),
- .c(Pg3qw6),
- .d(Vn9bx6),
- .e(Yf1qw6),
- .o(_al_u2938_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u2939 (
- .a(Mz6iu6),
- .b(Kl8ax6),
- .c(Su8ax6),
- .d(Yvabx6),
- .o(_al_u2939_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u294 (
- .a(N9now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r1_o[27]),
- .d(vis_r7_o[27]),
- .o(_al_u294_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D@C))"),
- .INIT(16'h8008))
- _al_u2940 (
- .a(_al_u2939_o),
- .b(Ad7ax6),
- .c(Bf3qw6),
- .d(Pg3qw6),
- .o(_al_u2940_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(~D*~C))"),
- .INIT(16'h4440))
- _al_u2941 (
- .a(_al_u2938_o),
- .b(_al_u2940_o),
- .c(Am6iu6_lutinv),
- .d(_al_u2773_o),
- .o(_al_u2941_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~(~B*~A))"),
- .INIT(16'he000))
- _al_u2942 (
- .a(_al_u2937_o),
- .b(_al_u2941_o),
- .c(B79bx6),
- .d(H4ypw6),
- .o(_al_u2942_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(~(A)*~(B)*~(D)*~(E)+A*~(B)*~(D)*~(E)+~(A)*B*~(D)*~(E)+A*~(B)*D*~(E)+A*B*D*~(E)+~(A)*~(B)*~(D)*E))"),
- .INIT(32'h0010a070))
- _al_u2943 (
- .a(Am6iu6_lutinv),
- .b(_al_u2773_o),
- .c(_al_u2932_o),
- .d(Pg3qw6),
- .e(Yvabx6),
- .o(X87iu6));
- AL_MAP_LUT5 #(
- .EQN("(C*(A*~(B)*~(D)*~(E)+~(A)*~(B)*D*~(E)+A*~(B)*D*~(E)+A*B*D*~(E)+~(A)*B*~(D)*E+~(A)*~(B)*D*E+A*~(B)*D*E+A*B*D*E))"),
- .INIT(32'hb040b020))
- _al_u2944 (
- .a(Am6iu6_lutinv),
- .b(_al_u2773_o),
- .c(_al_u2933_o),
- .d(Bf3qw6),
- .e(Pg3qw6),
- .o(_al_u2944_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(~D*~(~C*~A)))"),
- .INIT(16'h3301))
- _al_u2945 (
- .a(X87iu6),
- .b(_al_u2944_o),
- .c(J17iu6_lutinv),
- .d(Bf3qw6),
- .o(_al_u2945_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u2946 (
- .a(Nwdbx6),
- .b(Q2ibx6),
- .c(Q4dbx6),
- .d(Ufebx6),
- .o(_al_u2946_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*~B*A)"),
- .INIT(32'h00000002))
- _al_u2947 (
- .a(_al_u2946_o),
- .b(Bvfbx6),
- .c(H7hbx6),
- .d(Nlcbx6),
- .e(No3qw6),
- .o(_al_u2947_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(~D*C))"),
- .INIT(16'h4404))
- _al_u2948 (
- .a(Qc3pw6_lutinv),
- .b(_al_u2947_o),
- .c(B79bx6),
- .d(Ke1qw6),
- .o(_al_u2948_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*~B*~A)"),
- .INIT(32'h00000001))
- _al_u2949 (
- .a(H4ypw6),
- .b(Ke1qw6),
- .c(Nd3qw6),
- .d(Vn9bx6),
- .e(Yf1qw6),
- .o(_al_u2949_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u295 (
- .a(Panow6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r5_o[27]),
- .d(vis_r3_o[27]),
- .o(_al_u295_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*~(~B*~(E*~C))))"),
- .INIT(32'h20aa22aa))
- _al_u2950 (
- .a(Nr4iu6_lutinv),
- .b(_al_u2942_o),
- .c(_al_u2945_o),
- .d(_al_u2948_o),
- .e(_al_u2949_o),
- .o(_al_u2950_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(D*~C)*~(E*B))"),
- .INIT(32'hefeeafaa))
- _al_u2951 (
- .a(_al_u2950_o),
- .b(Nr4iu6_lutinv),
- .c(HREADY),
- .d(Hw8ax6),
- .e(Su8ax6),
- .o(Y1xhu6));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(D*~C)*~(E*B))"),
- .INIT(32'hefeeafaa))
- _al_u2952 (
- .a(_al_u2950_o),
- .b(Nr4iu6_lutinv),
- .c(HREADY),
- .d(Le2qw6),
- .e(Yf1qw6),
- .o(F2xhu6));
- AL_MAP_LUT3 #(
- .EQN("(A*(C@B))"),
- .INIT(8'h28))
- _al_u2953 (
- .a(Nr4iu6_lutinv),
- .b(Ad7ax6),
- .c(Vn9bx6),
- .o(_al_u2953_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*~A*~(D*~C))"),
- .INIT(16'hefee))
- _al_u2954 (
- .a(_al_u2950_o),
- .b(_al_u2953_o),
- .c(HREADY),
- .d(Pe7ax6),
- .o(M2xhu6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C@B))"),
- .INIT(8'h82))
- _al_u2955 (
- .a(Nr4iu6_lutinv),
- .b(Am6iu6_lutinv),
- .c(Su8ax6),
- .o(_al_u2955_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*~A*~(D*~C))"),
- .INIT(16'hefee))
- _al_u2956 (
- .a(_al_u2950_o),
- .b(_al_u2955_o),
- .c(HREADY),
- .d(D43qw6),
- .o(T2xhu6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C@B))"),
- .INIT(8'h82))
- _al_u2957 (
- .a(Nr4iu6_lutinv),
- .b(Am6iu6_lutinv),
- .c(Pg3qw6),
- .o(_al_u2957_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*~A*~(D*~C))"),
- .INIT(16'hefee))
- _al_u2958 (
- .a(_al_u2950_o),
- .b(_al_u2957_o),
- .c(HREADY),
- .d(Di3qw6),
- .o(A3xhu6));
- AL_MAP_LUT3 #(
- .EQN("(A*(C@B))"),
- .INIT(8'h28))
- _al_u2959 (
- .a(Nr4iu6_lutinv),
- .b(_al_u2773_o),
- .c(Kl8ax6),
- .o(_al_u2959_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u296 (
- .a(C7now6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r0_o[27]),
- .d(vis_r6_o[27]),
- .o(_al_u296_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*~A*~(D*~C))"),
- .INIT(16'hefee))
- _al_u2960 (
- .a(_al_u2950_o),
- .b(_al_u2959_o),
- .c(HREADY),
- .d(Zm8ax6),
- .o(H3xhu6));
- AL_MAP_LUT3 #(
- .EQN("(A*(C@B))"),
- .INIT(8'h28))
- _al_u2961 (
- .a(Nr4iu6_lutinv),
- .b(_al_u2773_o),
- .c(Bf3qw6),
- .o(_al_u2961_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*~A*~(D*~C))"),
- .INIT(16'hefee))
- _al_u2962 (
- .a(_al_u2950_o),
- .b(_al_u2961_o),
- .c(HREADY),
- .d(Sqwpw6),
- .o(O3xhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u2963 (
- .a(Iiliu6),
- .b(Ay8iu6),
- .o(_al_u2963_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2964 (
- .a(Ay8iu6),
- .b(Qk9pw6_lutinv),
- .o(_al_u2964_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~(~C*~(~B*~A)))"),
- .INIT(32'h000000f1))
- _al_u2965 (
- .a(_al_u2921_o),
- .b(_al_u2963_o),
- .c(_al_u2964_o),
- .d(n1481),
- .e(_al_u2288_o),
- .o(_al_u2965_o));
- AL_MAP_LUT3 #(
- .EQN("~(~A*~(C*~B))"),
- .INIT(8'hba))
- _al_u2966 (
- .a(_al_u2965_o),
- .b(HREADY),
- .c(Vygax6),
- .o(U8vhu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~(~B*~A))"),
- .INIT(16'h0e00))
- _al_u2967 (
- .a(_al_u2921_o),
- .b(_al_u2963_o),
- .c(_al_u2964_o),
- .d(S18iu6),
- .o(E18iu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*~A)"),
- .INIT(16'h0100))
- _al_u2968 (
- .a(_al_u2354_o),
- .b(_al_u2356_o),
- .c(_al_u2358_o),
- .d(_al_u2587_o),
- .o(_al_u2968_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*A)*~(D)*~(B)+~(~C*A)*D*~(B)+~(~(~C*A))*D*B+~(~C*A)*D*B)"),
- .INIT(16'hfd31))
- _al_u2969 (
- .a(E18iu6),
- .b(W7cow6),
- .c(_al_u2968_o),
- .d(I7cow6),
- .o(_al_u2969_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u297 (
- .a(_al_u293_o),
- .b(_al_u294_o),
- .c(_al_u295_o),
- .d(_al_u296_o),
- .o(A70iu6));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(~C*B))"),
- .INIT(8'h5d))
- _al_u2970 (
- .a(_al_u2969_o),
- .b(n5754),
- .c(_al_u2861_o),
- .o(HTRANS[1]));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*C*B))"),
- .INIT(16'h5515))
- _al_u2971 (
- .a(Nr4iu6_lutinv),
- .b(E18iu6),
- .c(_al_u2968_o),
- .d(n1481),
- .o(_al_u2971_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~((E*D*C))*~(A)+B*(E*D*C)*~(A)+~(B)*(E*D*C)*A+B*(E*D*C)*A)"),
- .INIT(32'he4444444))
- _al_u2972 (
- .a(_al_u2971_o),
- .b(HWRITE),
- .c(Wjyiu6),
- .d(Rzciu6_lutinv),
- .e(C1wpw6),
- .o(Hyuhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D)"),
- .INIT(16'h0a5c))
- _al_u2973 (
- .a(_al_u2917_o),
- .b(Iqzhu6_lutinv),
- .c(Sqfax6),
- .d(Uofax6),
- .o(Pkhpw6[0]));
- AL_MAP_LUT2 #(
- .EQN("~(B*A)"),
- .INIT(4'h7))
- _al_u2974 (
- .a(V34iu6),
- .b(_al_u128_o),
- .o(n394));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~A*~(B)*~(C)+~A*B*~(C)+~(~A)*B*C+~A*B*C))"),
- .INIT(16'h3a00))
- _al_u2975 (
- .a(_al_u2917_o),
- .b(_al_u2919_o),
- .c(Sqfax6),
- .d(Uofax6),
- .o(D7xiu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u2976 (
- .a(Sq4iu6),
- .b(J0gax6),
- .o(_al_u2976_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2977 (
- .a(D7xiu6_lutinv),
- .b(K7xiu6_lutinv),
- .c(_al_u2976_o),
- .o(Wo1iu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u2978 (
- .a(Vowiu6),
- .b(Hw8ax6),
- .c(Sqwpw6),
- .d(Zm8ax6),
- .o(Iv1iu6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2979 (
- .a(Iv1iu6),
- .b(Gr2qw6),
- .c(Isjpw6),
- .o(_al_u2979_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u298 (
- .a(A70iu6),
- .b(Xuzhu6),
- .o(Mifpw6[27]));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*D*C*B))"),
- .INIT(32'h15555555))
- _al_u2980 (
- .a(_al_u2979_o),
- .b(K0xiu6),
- .c(Q4wiu6_lutinv),
- .d(D43qw6),
- .e(Pe7ax6),
- .o(Uvsiu6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u2981 (
- .a(Uvsiu6),
- .b(Ymwiu6),
- .c(K0xiu6),
- .o(_al_u2981_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*D*C*B))"),
- .INIT(32'h2aaaaaaa))
- _al_u2982 (
- .a(_al_u2981_o),
- .b(Nkwiu6),
- .c(Q4wiu6_lutinv),
- .d(D43qw6),
- .e(Pe7ax6),
- .o(Yw1iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2983 (
- .a(Iv1iu6),
- .b(Dw1iu6),
- .c(vis_pc_o[30]),
- .d(H8gax6),
- .o(_al_u2983_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u2984 (
- .a(_al_u2983_o),
- .b(Kw1iu6_lutinv),
- .c(Vr1iu6),
- .d(Bcgax6),
- .e(Q2gax6),
- .o(_al_u2984_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2985 (
- .a(Cs1iu6),
- .b(Ar1iu6),
- .c(K6gax6),
- .d(Usnpw6),
- .o(_al_u2985_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u2986 (
- .a(_al_u2985_o),
- .b(Xs1iu6),
- .c(Zt1iu6),
- .d(Eagax6),
- .e(N4gax6),
- .o(_al_u2986_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u2987 (
- .a(Ur4iu6),
- .b(Wjyiu6),
- .c(Rzciu6_lutinv),
- .o(St1iu6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u2988 (
- .a(_al_u2984_o),
- .b(_al_u2986_o),
- .c(St1iu6),
- .d(HRDATA[31]),
- .o(_al_u2988_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2989 (
- .a(Hqgiu6),
- .b(U2fiu6),
- .c(Elnpw6),
- .d(Sh4bx6),
- .o(_al_u2989_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u299 (
- .a(V6now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r2_o[28]),
- .d(vis_r4_o[28]),
- .o(_al_u299_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u2990 (
- .a(Pjyiu6),
- .b(R9yax6),
- .c(W5ypw6),
- .o(M0eow6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2991 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Hg3bx6),
- .d(S0kbx6),
- .o(_al_u2991_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2992 (
- .a(Cznow6),
- .b(Pjyiu6),
- .o(G2fiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2993 (
- .a(G2fiu6),
- .b(Q0fiu6),
- .c(G54bx6),
- .d(Oa5bx6),
- .o(_al_u2993_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u2994 (
- .a(_al_u2989_o),
- .b(_al_u2991_o),
- .c(_al_u2993_o),
- .d(_al_u2276_o),
- .o(_al_u2994_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u2995 (
- .a(Xznow6),
- .b(Pjyiu6),
- .o(Tzdiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2996 (
- .a(Tzdiu6),
- .b(S1fiu6),
- .c(Rezax6),
- .d(Tgzax6),
- .o(_al_u2996_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u2997 (
- .a(_al_u2996_o),
- .b(Cznow6),
- .c(Rzciu6_lutinv),
- .d(Uj4bx6),
- .o(_al_u2997_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u2998 (
- .a(E1fiu6),
- .b(_al_u1937_o),
- .c(Pdyax6),
- .d(Wpyax6),
- .o(_al_u2998_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u2999 (
- .a(C0fiu6),
- .b(I3fiu6),
- .c(Cy4bx6),
- .d(Eyyax6),
- .o(_al_u2999_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3 (
- .a(E8iax6),
- .b(Vzjpw6),
- .o(Vnfpw6[0]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u30 (
- .a(Iqzhu6_lutinv),
- .b(Urgbx6),
- .o(W74iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u300 (
- .a(C7now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r5_o[28]),
- .d(vis_r6_o[28]),
- .o(_al_u300_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3000 (
- .a(_al_u2994_o),
- .b(_al_u2997_o),
- .c(_al_u2998_o),
- .d(_al_u2999_o),
- .o(Rw1iu6));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u3001 (
- .a(Wo1iu6),
- .b(Yw1iu6),
- .c(_al_u2988_o),
- .d(Rw1iu6),
- .o(_al_u3001_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*~B*A)"),
- .INIT(32'h20000000))
- _al_u3002 (
- .a(D7xiu6_lutinv),
- .b(_al_u2976_o),
- .c(Iqzhu6_lutinv),
- .d(Nmfax6),
- .e(Nrqpw6),
- .o(_al_u3002_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3003 (
- .a(_al_u3002_o),
- .b(_al_u128_o),
- .o(_al_u3003_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u3004 (
- .a(D7xiu6_lutinv),
- .b(_al_u2976_o),
- .c(_al_u128_o),
- .d(_al_u126_o),
- .o(Bo1iu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u3005 (
- .a(D7xiu6_lutinv),
- .b(Uunpw6),
- .o(_al_u3005_o));
- AL_MAP_LUT5 #(
- .EQN("~(~D*~B*~A*~(E*C))"),
- .INIT(32'hfffeffee))
- _al_u3006 (
- .a(_al_u3001_o),
- .b(_al_u3003_o),
- .c(Bo1iu6),
- .d(_al_u3005_o),
- .e(Ydgax6),
- .o(H2yhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3007 (
- .a(C0fiu6),
- .b(E1fiu6),
- .c(Aw4bx6),
- .d(Unyax6),
- .o(_al_u3007_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3008 (
- .a(S1fiu6),
- .b(Q0fiu6),
- .c(E34bx6),
- .d(Pczax6),
- .o(_al_u3008_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3009 (
- .a(G2fiu6),
- .b(I3fiu6),
- .c(Cwyax6),
- .d(M85bx6),
- .o(_al_u3009_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u301 (
- .a(Panow6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r0_o[28]),
- .d(vis_r3_o[28]),
- .o(_al_u301_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u3010 (
- .a(_al_u3007_o),
- .b(_al_u3008_o),
- .c(_al_u3009_o),
- .d(U2fiu6),
- .e(Qf4bx6),
- .o(_al_u3010_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u3011 (
- .a(Cpwiu6),
- .b(Q4wiu6_lutinv),
- .c(D43qw6),
- .d(Pe7ax6),
- .o(_al_u3011_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u3012 (
- .a(_al_u3011_o),
- .b(Xznow6),
- .c(K5eiu6),
- .o(_al_u3012_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3013 (
- .a(_al_u3012_o),
- .b(_al_u2276_o),
- .o(_al_u3013_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3014 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Rz0bx6),
- .d(Tcipw6),
- .o(_al_u3014_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u3015 (
- .a(_al_u3014_o),
- .b(Cznow6),
- .c(Rzciu6_lutinv),
- .d(Tl4bx6),
- .o(_al_u3015_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3016 (
- .a(Hqgiu6),
- .b(Tzdiu6),
- .c(Uizax6),
- .d(Wgipw6),
- .o(_al_u3016_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3017 (
- .a(_al_u3010_o),
- .b(_al_u3013_o),
- .c(_al_u3015_o),
- .d(_al_u3016_o),
- .o(Bewiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3018 (
- .a(Vr1iu6),
- .b(Zt1iu6),
- .c(Dncax6),
- .d(Z2aax6),
- .o(_al_u3018_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3019 (
- .a(_al_u3018_o),
- .b(Iv1iu6),
- .c(Dw1iu6),
- .d(vis_pc_o[29]),
- .e(Peeax6),
- .o(_al_u3019_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u302 (
- .a(N9now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r1_o[28]),
- .d(vis_r7_o[28]),
- .o(_al_u302_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3020 (
- .a(Xs1iu6),
- .b(Ar1iu6),
- .c(F6dbx6),
- .d(Widax6),
- .o(_al_u3020_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3021 (
- .a(_al_u3020_o),
- .b(Kw1iu6_lutinv),
- .c(Cs1iu6),
- .d(J59ax6),
- .e(Krbax6),
- .o(_al_u3021_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3022 (
- .a(_al_u3019_o),
- .b(_al_u3021_o),
- .c(St1iu6),
- .d(HRDATA[30]),
- .o(_al_u3022_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u3023 (
- .a(Wo1iu6),
- .b(Yw1iu6),
- .c(Bewiu6),
- .d(_al_u3022_o),
- .o(_al_u3023_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(~C*A))"),
- .INIT(16'hc4f5))
- _al_u3024 (
- .a(_al_u3002_o),
- .b(D7xiu6_lutinv),
- .c(_al_u128_o),
- .d(X42qw6),
- .o(_al_u3024_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*C))"),
- .INIT(16'hfbbb))
- _al_u3025 (
- .a(_al_u3023_o),
- .b(_al_u3024_o),
- .c(Bo1iu6),
- .d(Wc2qw6),
- .o(S6phu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3026 (
- .a(Iv1iu6),
- .b(Ar1iu6),
- .c(vis_pc_o[28]),
- .d(Sx3qw6),
- .o(_al_u3026_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3027 (
- .a(Yw1iu6),
- .b(_al_u3026_o),
- .c(Zt1iu6),
- .d(Tchbx6),
- .o(_al_u3027_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*C)*~(E*B))"),
- .INIT(32'h01110555))
- _al_u3028 (
- .a(_al_u2276_o),
- .b(F0eow6),
- .c(M0eow6),
- .d(Bc3bx6),
- .e(Kojpw6),
- .o(U6wiu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u3029 (
- .a(_al_u106_o),
- .b(Hw8ax6),
- .c(Sqwpw6),
- .d(Zm8ax6),
- .o(_al_u3029_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u303 (
- .a(_al_u299_o),
- .b(_al_u300_o),
- .c(_al_u301_o),
- .d(_al_u302_o),
- .o(_al_u303_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(D*B))"),
- .INIT(16'h020a))
- _al_u3030 (
- .a(U6wiu6),
- .b(Kw1iu6_lutinv),
- .c(_al_u3029_o),
- .d(Wahbx6),
- .o(_al_u3030_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(C*B*~(E*D)))"),
- .INIT(32'haa2a2a2a))
- _al_u3031 (
- .a(Wo1iu6),
- .b(_al_u3027_o),
- .c(_al_u3030_o),
- .d(St1iu6),
- .e(HRDATA[29]),
- .o(_al_u3031_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(~C*A))"),
- .INIT(16'hc4f5))
- _al_u3032 (
- .a(_al_u3002_o),
- .b(D7xiu6_lutinv),
- .c(_al_u128_o),
- .d(Rr3qw6),
- .o(_al_u3032_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*C))"),
- .INIT(16'hfbbb))
- _al_u3033 (
- .a(_al_u3031_o),
- .b(_al_u3032_o),
- .c(Bo1iu6),
- .d(Cq3qw6),
- .o(Z6phu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3034 (
- .a(Vr1iu6),
- .b(Cs1iu6),
- .c(Hjgax6),
- .d(Yogax6),
- .o(Owviu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3035 (
- .a(Xs1iu6),
- .b(Ar1iu6),
- .c(Bngax6),
- .d(Ibqpw6),
- .o(_al_u3035_o));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(D*A))"),
- .INIT(16'h40c0))
- _al_u3036 (
- .a(St1iu6),
- .b(Owviu6),
- .c(_al_u3035_o),
- .d(HRDATA[28]),
- .o(_al_u3036_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3037 (
- .a(Kw1iu6_lutinv),
- .b(Dw1iu6),
- .c(Elgax6),
- .d(Nfgax6),
- .o(Gzviu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3038 (
- .a(Gzviu6),
- .b(Iv1iu6),
- .c(Zt1iu6),
- .d(vis_pc_o[27]),
- .e(Khgax6),
- .o(_al_u3038_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3039 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Usipw6),
- .d(V73bx6),
- .o(_al_u3039_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u304 (
- .a(_al_u303_o),
- .b(Xuzhu6),
- .o(Mifpw6[28]));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*C))"),
- .INIT(16'h0222))
- _al_u3040 (
- .a(_al_u3039_o),
- .b(_al_u2276_o),
- .c(_al_u1937_o),
- .d(Mnmpw6),
- .o(I0wiu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*D*C*B))"),
- .INIT(32'h2aaaaaaa))
- _al_u3041 (
- .a(Wo1iu6),
- .b(Yw1iu6),
- .c(_al_u3036_o),
- .d(_al_u3038_o),
- .e(I0wiu6),
- .o(_al_u3041_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(D*~C)*~(E*B))"),
- .INIT(32'hefeeafaa))
- _al_u3042 (
- .a(_al_u3041_o),
- .b(Bo1iu6),
- .c(D7xiu6_lutinv),
- .d(Idqpw6),
- .e(Vqgax6),
- .o(G7phu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u3043 (
- .a(Bo1iu6),
- .b(D7xiu6_lutinv),
- .c(N0cbx6),
- .d(Q4dbx6),
- .o(_al_u3043_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3044 (
- .a(Dw1iu6),
- .b(Zt1iu6),
- .c(Fvcbx6),
- .d(Zycbx6),
- .o(_al_u3044_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3045 (
- .a(_al_u3044_o),
- .b(Iv1iu6),
- .c(Cs1iu6),
- .d(Cxcbx6),
- .e(vis_pc_o[26]),
- .o(_al_u3045_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3046 (
- .a(Xs1iu6),
- .b(Ar1iu6),
- .c(Nybbx6),
- .d(W0dbx6),
- .o(_al_u3046_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3047 (
- .a(_al_u3046_o),
- .b(Kw1iu6_lutinv),
- .c(Vr1iu6),
- .d(Itcbx6),
- .e(T2dbx6),
- .o(_al_u3047_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*C)*~(E*B))"),
- .INIT(32'h01110555))
- _al_u3048 (
- .a(_al_u2276_o),
- .b(F0eow6),
- .c(M0eow6),
- .d(P33bx6),
- .e(Qx0bx6),
- .o(Wtviu6));
- AL_MAP_LUT5 #(
- .EQN("(D*B*A*~(E*C))"),
- .INIT(32'h08008800))
- _al_u3049 (
- .a(_al_u3045_o),
- .b(_al_u3047_o),
- .c(St1iu6),
- .d(Wtviu6),
- .e(HRDATA[27]),
- .o(_al_u3049_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u305 (
- .a(Panow6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r5_o[29]),
- .d(vis_r3_o[29]),
- .o(_al_u305_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~(B*~(D*C)))"),
- .INIT(16'h5ddd))
- _al_u3050 (
- .a(_al_u3043_o),
- .b(Wo1iu6),
- .c(Yw1iu6),
- .d(_al_u3049_o),
- .o(N7phu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3051 (
- .a(Kw1iu6_lutinv),
- .b(Dw1iu6),
- .c(Facbx6),
- .d(Wfcbx6),
- .o(Ulviu6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3052 (
- .a(Yw1iu6),
- .b(Ulviu6),
- .c(Ar1iu6),
- .d(F8cbx6),
- .o(_al_u3052_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3053 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Jz2bx6),
- .d(X5upw6),
- .o(_al_u3053_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u3054 (
- .a(_al_u3053_o),
- .b(_al_u1937_o),
- .c(Zdtpw6),
- .o(Wmviu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3055 (
- .a(Wmviu6),
- .b(St1iu6),
- .c(Vr1iu6),
- .d(HRDATA[26]),
- .e(Qjcbx6),
- .o(_al_u3055_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3056 (
- .a(Xs1iu6),
- .b(Zt1iu6),
- .c(Cccbx6),
- .d(Thcbx6),
- .o(_al_u3056_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3057 (
- .a(_al_u3056_o),
- .b(Iv1iu6),
- .c(Cs1iu6),
- .d(vis_pc_o[25]),
- .e(Zdcbx6),
- .o(_al_u3057_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u3058 (
- .a(Wo1iu6),
- .b(_al_u3052_o),
- .c(_al_u3055_o),
- .d(_al_u3057_o),
- .o(_al_u3058_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3059 (
- .a(_al_u3002_o),
- .b(_al_u128_o),
- .o(_al_u3059_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u306 (
- .a(V6now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[29]),
- .d(vis_r2_o[29]),
- .o(_al_u306_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u3060 (
- .a(D7xiu6_lutinv),
- .b(Cncbx6),
- .o(_al_u3060_o));
- AL_MAP_LUT5 #(
- .EQN("~(~D*~B*~A*~(E*C))"),
- .INIT(32'hfffeffee))
- _al_u3061 (
- .a(_al_u3058_o),
- .b(_al_u3059_o),
- .c(Bo1iu6),
- .d(_al_u3060_o),
- .e(Nlcbx6),
- .o(U7phu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3062 (
- .a(Iv1iu6),
- .b(Dw1iu6),
- .c(Mgeax6),
- .d(vis_pc_o[24]),
- .o(_al_u3062_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3063 (
- .a(Vr1iu6),
- .b(Cs1iu6),
- .c(Apcax6),
- .d(Htbax6),
- .o(_al_u3063_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3064 (
- .a(_al_u407_o),
- .b(Ar1iu6),
- .c(Nj2qw6),
- .d(Nwbbx6),
- .o(_al_u3064_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u3065 (
- .a(_al_u3062_o),
- .b(_al_u3063_o),
- .c(_al_u3064_o),
- .d(Xs1iu6),
- .e(Tkdax6),
- .o(_al_u3065_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*C)*~(D*B))"),
- .INIT(32'h01051155))
- _al_u3066 (
- .a(_al_u2276_o),
- .b(F0eow6),
- .c(M0eow6),
- .d(Pv0bx6),
- .e(Rm2bx6),
- .o(Wfviu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3067 (
- .a(Wfviu6),
- .b(Kw1iu6_lutinv),
- .c(Zt1iu6),
- .d(G79ax6),
- .e(W4aax6),
- .o(_al_u3067_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u3068 (
- .a(Yw1iu6),
- .b(_al_u3065_o),
- .c(_al_u3067_o),
- .d(St1iu6),
- .e(HRDATA[25]),
- .o(_al_u3068_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(~C*A))"),
- .INIT(16'hc4f5))
- _al_u3069 (
- .a(Wo1iu6),
- .b(D7xiu6_lutinv),
- .c(_al_u3068_o),
- .d(Fl2qw6),
- .o(_al_u3069_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u307 (
- .a(C7now6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r0_o[29]),
- .d(vis_r6_o[29]),
- .o(_al_u307_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u3070 (
- .a(D7xiu6_lutinv),
- .b(_al_u2976_o),
- .c(_al_u128_o),
- .d(_al_u126_o),
- .o(Qwpiu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("~(~C*A*~(D*B))"),
- .INIT(16'hfdf5))
- _al_u3071 (
- .a(_al_u3069_o),
- .b(Bo1iu6),
- .c(Qwpiu6_lutinv),
- .d(Q2ibx6),
- .o(B8phu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3072 (
- .a(Kw1iu6_lutinv),
- .b(Iv1iu6),
- .c(D99ax6),
- .d(vis_pc_o[23]),
- .o(_al_u3072_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3073 (
- .a(Xs1iu6),
- .b(Zt1iu6),
- .c(Qmdax6),
- .d(T6aax6),
- .o(Z6viu6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3074 (
- .a(_al_u3072_o),
- .b(Z6viu6),
- .c(Dw1iu6),
- .d(Jieax6),
- .o(_al_u3074_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3075 (
- .a(_al_u3012_o),
- .b(F0eow6),
- .c(M0eow6),
- .d(Dv2bx6),
- .e(Oxkpw6),
- .o(P8viu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3076 (
- .a(Ws4iu6_lutinv),
- .b(Vpkpw6),
- .o(_al_u3076_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*B*A*~(E*C))"),
- .INIT(32'h00080088))
- _al_u3077 (
- .a(_al_u3074_o),
- .b(P8viu6),
- .c(St1iu6),
- .d(_al_u3076_o),
- .e(HRDATA[24]),
- .o(_al_u3077_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3078 (
- .a(Cs1iu6),
- .b(Ar1iu6),
- .c(Evbax6),
- .d(Tgkbx6),
- .o(_al_u3078_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u3079 (
- .a(_al_u3078_o),
- .b(Eg7iu6),
- .c(F4ibx6),
- .o(_al_u3079_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u308 (
- .a(N9now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r1_o[29]),
- .d(vis_r4_o[29]),
- .o(_al_u308_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3080 (
- .a(Yc7iu6),
- .b(Vr1iu6),
- .c(Sbfax6),
- .d(Xqcax6),
- .o(_al_u3080_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3081 (
- .a(_al_u3079_o),
- .b(_al_u3080_o),
- .c(_al_u407_o),
- .d(Uh2qw6),
- .o(_al_u3081_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u3082 (
- .a(Wo1iu6),
- .b(Yw1iu6),
- .c(_al_u3077_o),
- .d(_al_u3081_o),
- .o(_al_u3082_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*~B))"),
- .INIT(8'h45))
- _al_u3083 (
- .a(Qwpiu6_lutinv),
- .b(D7xiu6_lutinv),
- .c(Nrkpw6),
- .o(_al_u3083_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*C))"),
- .INIT(16'hfbbb))
- _al_u3084 (
- .a(_al_u3082_o),
- .b(_al_u3083_o),
- .c(Bo1iu6),
- .d(No3qw6),
- .o(I8phu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u3085 (
- .a(Fc1bx6),
- .b(Fe2bx6),
- .c(Gihbx6),
- .d(Hg3bx6),
- .o(_al_u3085_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u3086 (
- .a(Aa2bx6),
- .b(Bc3bx6),
- .c(Dt1bx6),
- .d(Dv2bx6),
- .o(_al_u3086_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u3087 (
- .a(Lr9bx6),
- .b(Mk3bx6),
- .c(Muhbx6),
- .d(N5bbx6),
- .o(_al_u3087_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u3088 (
- .a(Jx1bx6),
- .b(Jz2bx6),
- .c(Lg1bx6),
- .d(Li2bx6),
- .o(_al_u3088_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3089 (
- .a(_al_u3085_o),
- .b(_al_u3086_o),
- .c(_al_u3087_o),
- .d(_al_u3088_o),
- .o(_al_u3089_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u309 (
- .a(_al_u305_o),
- .b(_al_u306_o),
- .c(_al_u307_o),
- .d(_al_u308_o),
- .o(M60iu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u3090 (
- .a(Xq2bx6),
- .b(Y0gbx6),
- .c(Yxrpw6),
- .d(Z71bx6),
- .o(_al_u3090_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*~B*A)"),
- .INIT(32'h00000002))
- _al_u3091 (
- .a(_al_u3090_o),
- .b(Us3bx6),
- .c(V52bx6),
- .d(V73bx6),
- .e(Xo1bx6),
- .o(_al_u3091_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u3092 (
- .a(Rk1bx6),
- .b(Rm2bx6),
- .c(Tcipw6),
- .d(U31bx6),
- .o(_al_u3092_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u3093 (
- .a(P12bx6),
- .b(P33bx6),
- .c(Qo3bx6),
- .d(Rijbx6),
- .o(_al_u3093_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3094 (
- .a(_al_u3089_o),
- .b(_al_u3091_o),
- .c(_al_u3092_o),
- .d(_al_u3093_o),
- .o(_al_u3094_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3095 (
- .a(Yvgiu6),
- .b(Q0fiu6),
- .c(F9gbx6),
- .d(Jdgbx6),
- .o(_al_u3095_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3096 (
- .a(I3fiu6),
- .b(E1fiu6),
- .c(Lfgbx6),
- .d(Tngbx6),
- .o(_al_u3096_o));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(D*~A))"),
- .INIT(16'h80c0))
- _al_u3097 (
- .a(_al_u3094_o),
- .b(_al_u3095_o),
- .c(_al_u3096_o),
- .d(_al_u1937_o),
- .o(_al_u3097_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3098 (
- .a(F0eow6),
- .b(M0eow6),
- .c(B3gbx6),
- .d(Y0gbx6),
- .o(_al_u3098_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3099 (
- .a(S1fiu6),
- .b(U2fiu6),
- .c(Nhgbx6),
- .d(Rlgbx6),
- .o(_al_u3099_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u31 (
- .a(Iqzhu6_lutinv),
- .b(Jvkpw6),
- .o(D84iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u310 (
- .a(M60iu6),
- .b(Xuzhu6),
- .o(Mifpw6[29]));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3100 (
- .a(_al_u3098_o),
- .b(_al_u3099_o),
- .c(Tzdiu6),
- .d(C5gbx6),
- .o(_al_u3100_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3101 (
- .a(Hqgiu6),
- .b(C0fiu6),
- .c(Hbgbx6),
- .d(Vpgbx6),
- .o(_al_u3101_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3102 (
- .a(G2fiu6),
- .b(M6eiu6),
- .c(D7gbx6),
- .d(Pjgbx6),
- .o(_al_u3102_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3103 (
- .a(_al_u3097_o),
- .b(_al_u3100_o),
- .c(_al_u3101_o),
- .d(_al_u3102_o),
- .o(Ntuiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3104 (
- .a(Dw1iu6),
- .b(Zt1iu6),
- .c(Kpfbx6),
- .d(Qlfbx6),
- .o(_al_u3104_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3105 (
- .a(_al_u3104_o),
- .b(Kw1iu6_lutinv),
- .c(Iv1iu6),
- .d(vis_pc_o[21]),
- .e(Tjfbx6),
- .o(_al_u3105_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3106 (
- .a(Cs1iu6),
- .b(Ar1iu6),
- .c(Nnfbx6),
- .d(Tlebx6),
- .o(_al_u3106_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3107 (
- .a(_al_u3106_o),
- .b(Xs1iu6),
- .c(Vr1iu6),
- .d(Etfbx6),
- .e(Hrfbx6),
- .o(_al_u3107_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3108 (
- .a(_al_u3105_o),
- .b(_al_u3107_o),
- .c(St1iu6),
- .d(HRDATA[22]),
- .o(_al_u3108_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u3109 (
- .a(Wo1iu6),
- .b(Yw1iu6),
- .c(Ntuiu6),
- .d(_al_u3108_o),
- .o(_al_u3109_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u311 (
- .a(E8now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r4_o[30]),
- .d(vis_r7_o[30]),
- .o(_al_u311_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*A))"),
- .INIT(16'h4c5f))
- _al_u3110 (
- .a(_al_u3002_o),
- .b(D7xiu6_lutinv),
- .c(_al_u128_o),
- .d(Qwfbx6),
- .o(_al_u3110_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*C))"),
- .INIT(16'hfbbb))
- _al_u3111 (
- .a(_al_u3109_o),
- .b(_al_u3110_o),
- .c(Bo1iu6),
- .d(Bvfbx6),
- .o(W8phu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3112 (
- .a(Vr1iu6),
- .b(Cs1iu6),
- .c(G8ebx6),
- .d(Xdebx6),
- .o(Ajuiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3113 (
- .a(Xs1iu6),
- .b(Ar1iu6),
- .c(Acebx6),
- .d(M2ebx6),
- .o(_al_u3113_o));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(D*A))"),
- .INIT(16'h40c0))
- _al_u3114 (
- .a(St1iu6),
- .b(Ajuiu6),
- .c(_al_u3113_o),
- .d(HRDATA[21]),
- .o(_al_u3114_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3115 (
- .a(Dw1iu6),
- .b(Zt1iu6),
- .c(Daebx6),
- .d(J6ebx6),
- .o(_al_u3115_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3116 (
- .a(_al_u3115_o),
- .b(Kw1iu6_lutinv),
- .c(Iv1iu6),
- .d(vis_pc_o[20]),
- .e(M4ebx6),
- .o(_al_u3116_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3117 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Li2bx6),
- .d(Nr0bx6),
- .o(Mdfow6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3118 (
- .a(Mdfow6),
- .b(Yvgiu6),
- .c(M6eiu6),
- .d(Rhkpw6),
- .e(Tjkpw6),
- .o(Umuiu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*D*C*B))"),
- .INIT(32'h2aaaaaaa))
- _al_u3119 (
- .a(Wo1iu6),
- .b(Yw1iu6),
- .c(_al_u3114_o),
- .d(_al_u3116_o),
- .e(Umuiu6),
- .o(_al_u3119_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u312 (
- .a(V6now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r2_o[30]),
- .d(vis_r5_o[30]),
- .o(_al_u312_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*A))"),
- .INIT(16'h4c5f))
- _al_u3120 (
- .a(_al_u3002_o),
- .b(D7xiu6_lutinv),
- .c(_al_u128_o),
- .d(Jhebx6),
- .o(_al_u3120_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*C))"),
- .INIT(16'hfbbb))
- _al_u3121 (
- .a(_al_u3119_o),
- .b(_al_u3120_o),
- .c(Bo1iu6),
- .d(Ufebx6),
- .o(D9phu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*A))"),
- .INIT(16'h4c5f))
- _al_u3122 (
- .a(_al_u3002_o),
- .b(D7xiu6_lutinv),
- .c(_al_u128_o),
- .d(Cydbx6),
- .o(_al_u3122_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3123 (
- .a(Iv1iu6),
- .b(Vr1iu6),
- .c(vis_pc_o[19]),
- .d(Qudbx6),
- .o(_al_u3123_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3124 (
- .a(Dw1iu6),
- .b(Zt1iu6),
- .c(Cndbx6),
- .d(Wqdbx6),
- .o(_al_u3124_o));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(D*A))"),
- .INIT(16'h40c0))
- _al_u3125 (
- .a(St1iu6),
- .b(_al_u3123_o),
- .c(_al_u3124_o),
- .d(HRDATA[20]),
- .o(_al_u3125_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3126 (
- .a(Xs1iu6),
- .b(Cs1iu6),
- .c(Tsdbx6),
- .d(Zodbx6),
- .o(_al_u3126_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3127 (
- .a(_al_u3126_o),
- .b(Kw1iu6_lutinv),
- .c(Ar1iu6),
- .d(Fjdbx6),
- .e(Fldbx6),
- .o(_al_u3127_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3128 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Fe2bx6),
- .d(Mp0bx6),
- .o(Mrfow6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3129 (
- .a(Mrfow6),
- .b(Yvgiu6),
- .c(M6eiu6),
- .d(X6jpw6),
- .e(Z8jpw6),
- .o(Bguiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u313 (
- .a(C7now6_lutinv),
- .b(Panow6_lutinv),
- .c(vis_r6_o[30]),
- .d(vis_r3_o[30]),
- .o(_al_u313_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3130 (
- .a(Yw1iu6),
- .b(_al_u3125_o),
- .c(_al_u3127_o),
- .d(Bguiu6),
- .o(_al_u3130_o));
- AL_MAP_LUT5 #(
- .EQN("~(A*~(~D*C)*~(E*B))"),
- .INIT(32'hddfd55f5))
- _al_u3131 (
- .a(_al_u3122_o),
- .b(Bo1iu6),
- .c(Wo1iu6),
- .d(_al_u3130_o),
- .e(Nwdbx6),
- .o(K9phu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3132 (
- .a(Dw1iu6),
- .b(Zt1iu6),
- .c(Gkeax6),
- .d(Q8aax6),
- .o(_al_u3132_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u3133 (
- .a(_al_u2979_o),
- .b(_al_u3132_o),
- .c(Xs1iu6),
- .d(Nodax6),
- .o(_al_u3133_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3134 (
- .a(Vr1iu6),
- .b(Ar1iu6),
- .c(T6kbx6),
- .d(Uscax6),
- .o(_al_u3134_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*B))"),
- .INIT(16'h20a0))
- _al_u3135 (
- .a(_al_u3133_o),
- .b(St1iu6),
- .c(_al_u3134_o),
- .d(HRDATA[19]),
- .o(_al_u3135_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3136 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Ln0bx6),
- .d(V52bx6),
- .o(_al_u3136_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3137 (
- .a(Yvgiu6),
- .b(M6eiu6),
- .c(Hhvpw6),
- .d(Nr7ax6),
- .o(E5jow6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3138 (
- .a(_al_u3012_o),
- .b(_al_u3136_o),
- .c(E5jow6),
- .o(U8uiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3139 (
- .a(Kw1iu6_lutinv),
- .b(Cs1iu6),
- .c(Ab9ax6),
- .d(Bxbax6),
- .o(_al_u3139_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u314 (
- .a(N9now6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r0_o[30]),
- .d(vis_r1_o[30]),
- .o(Nk4pw6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3140 (
- .a(U8uiu6),
- .b(_al_u3139_o),
- .c(Iv1iu6),
- .d(vis_pc_o[18]),
- .o(_al_u3140_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C*~(E*B)))"),
- .INIT(32'h8aaa0aaa))
- _al_u3141 (
- .a(Wo1iu6),
- .b(LOCKUP),
- .c(_al_u3135_o),
- .d(_al_u3140_o),
- .e(_al_u407_o),
- .o(_al_u3141_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(~C*A))"),
- .INIT(16'hc4f5))
- _al_u3142 (
- .a(_al_u3002_o),
- .b(D7xiu6_lutinv),
- .c(_al_u128_o),
- .d(Gbvpw6),
- .o(_al_u3142_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*C))"),
- .INIT(16'hfbbb))
- _al_u3143 (
- .a(_al_u3141_o),
- .b(_al_u3142_o),
- .c(Bo1iu6),
- .d(Ym3qw6),
- .o(R9phu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3144 (
- .a(_al_u3013_o),
- .b(Yvgiu6),
- .c(M0eow6),
- .d(N0xpw6),
- .e(P12bx6),
- .o(_al_u3144_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3145 (
- .a(_al_u3144_o),
- .b(M6eiu6),
- .c(F0eow6),
- .d(Kl0bx6),
- .e(Lywpw6),
- .o(U1uiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3146 (
- .a(Vr1iu6),
- .b(Ar1iu6),
- .c(Rucax6),
- .d(Syjbx6),
- .o(_al_u3146_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u3147 (
- .a(_al_u2979_o),
- .b(_al_u3146_o),
- .c(Iv1iu6),
- .d(vis_pc_o[17]),
- .o(_al_u3147_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3148 (
- .a(Kw1iu6_lutinv),
- .b(Cs1iu6),
- .c(Xc9ax6),
- .d(Yybax6),
- .o(_al_u3148_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*B))"),
- .INIT(16'h20a0))
- _al_u3149 (
- .a(_al_u3147_o),
- .b(St1iu6),
- .c(_al_u3148_o),
- .d(HRDATA[18]),
- .o(_al_u3149_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u315 (
- .a(_al_u311_o),
- .b(_al_u312_o),
- .c(_al_u313_o),
- .d(Nk4pw6),
- .o(Y50iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3150 (
- .a(Dw1iu6),
- .b(Zt1iu6),
- .c(Dmeax6),
- .d(Naaax6),
- .o(_al_u3150_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u3151 (
- .a(U1uiu6),
- .b(_al_u3149_o),
- .c(_al_u3150_o),
- .d(Xs1iu6),
- .e(Kqdax6),
- .o(_al_u3151_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B*~(D*~C)))"),
- .INIT(16'h2a22))
- _al_u3152 (
- .a(Wo1iu6),
- .b(_al_u3151_o),
- .c(Qa5iu6),
- .d(_al_u407_o),
- .o(_al_u3152_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*~B))"),
- .INIT(8'h45))
- _al_u3153 (
- .a(_al_u3002_o),
- .b(D7xiu6_lutinv),
- .c(Kswpw6),
- .o(Pvtiu6));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*C))"),
- .INIT(16'hfbbb))
- _al_u3154 (
- .a(_al_u3152_o),
- .b(Pvtiu6),
- .c(Bo1iu6),
- .d(Jl3qw6),
- .o(Y9phu6));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*C)*~(D*B))"),
- .INIT(32'h01051155))
- _al_u3155 (
- .a(_al_u2979_o),
- .b(St1iu6),
- .c(Kw1iu6_lutinv),
- .d(HRDATA[11]),
- .e(Xv8bx6),
- .o(_al_u3155_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3156 (
- .a(Vr1iu6),
- .b(Zt1iu6),
- .c(F59bx6),
- .d(Ux8bx6),
- .o(_al_u3156_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3157 (
- .a(_al_u3156_o),
- .b(Dw1iu6),
- .c(Cs1iu6),
- .d(N19bx6),
- .e(Rz8bx6),
- .o(_al_u3157_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3158 (
- .a(F0eow6),
- .b(M0eow6),
- .c(D70bx6),
- .d(Lg1bx6),
- .o(Fviow6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3159 (
- .a(Fviow6),
- .b(Yvgiu6),
- .c(M6eiu6),
- .d(Ofmpw6),
- .e(Pt7ax6),
- .o(Uosiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u316 (
- .a(Y50iu6),
- .b(Xuzhu6),
- .o(Mifpw6[30]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3160 (
- .a(Xs1iu6),
- .b(Ar1iu6),
- .c(C07bx6),
- .d(J39bx6),
- .o(_al_u3160_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3161 (
- .a(_al_u3155_o),
- .b(_al_u3157_o),
- .c(Uosiu6),
- .d(_al_u3160_o),
- .o(_al_u3161_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B*~(D*C)))"),
- .INIT(16'ha222))
- _al_u3162 (
- .a(Wo1iu6),
- .b(_al_u3161_o),
- .c(Iv1iu6),
- .d(vis_pc_o[10]),
- .o(_al_u3162_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(E*~C)*~(D*B))"),
- .INIT(32'hefafeeaa))
- _al_u3163 (
- .a(_al_u3162_o),
- .b(Bo1iu6),
- .c(D7xiu6_lutinv),
- .d(B79bx6),
- .e(Bu6bx6),
- .o(Vbphu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3164 (
- .a(St1iu6),
- .b(Vr1iu6),
- .c(HRDATA[10]),
- .d(C4dax6),
- .o(_al_u3164_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*C))"),
- .INIT(16'h0222))
- _al_u3165 (
- .a(_al_u3164_o),
- .b(_al_u2979_o),
- .c(Iv1iu6),
- .d(vis_pc_o[9]),
- .o(_al_u3165_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3166 (
- .a(Yc7iu6),
- .b(Kw1iu6_lutinv),
- .c(Hdfax6),
- .d(Im9ax6),
- .o(_al_u3166_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3167 (
- .a(_al_u3165_o),
- .b(_al_u3166_o),
- .c(Xs1iu6),
- .d(Vzdax6),
- .o(_al_u3167_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3168 (
- .a(F0eow6),
- .b(M0eow6),
- .c(C50bx6),
- .d(Fc1bx6),
- .o(L9mow6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3169 (
- .a(L9mow6),
- .b(Yvgiu6),
- .c(M6eiu6),
- .d(Tptpw6),
- .e(Vrtpw6),
- .o(Bisiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u317 (
- .a(E8now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[6]),
- .d(vis_r4_o[6]),
- .o(_al_u317_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3170 (
- .a(Dw1iu6),
- .b(Zt1iu6),
- .c(Oveax6),
- .d(Yjaax6),
- .o(_al_u3170_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3171 (
- .a(Cs1iu6),
- .b(Ar1iu6),
- .c(Gwxpw6),
- .d(J8cax6),
- .o(Cfsiu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*D*C*B))"),
- .INIT(32'h2aaaaaaa))
- _al_u3172 (
- .a(Wo1iu6),
- .b(_al_u3167_o),
- .c(Bisiu6),
- .d(_al_u3170_o),
- .e(Cfsiu6),
- .o(_al_u3172_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(D*~C)*~(E*B))"),
- .INIT(32'hefeeafaa))
- _al_u3173 (
- .a(_al_u3172_o),
- .b(Bo1iu6),
- .c(D7xiu6_lutinv),
- .d(Gyxpw6),
- .e(H4ypw6),
- .o(Ccphu6));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*C)*~(D*B))"),
- .INIT(32'h01051155))
- _al_u3174 (
- .a(_al_u2979_o),
- .b(St1iu6),
- .c(Vr1iu6),
- .d(HRDATA[9]),
- .e(Tcjbx6),
- .o(_al_u3174_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3175 (
- .a(Kw1iu6_lutinv),
- .b(Zt1iu6),
- .c(J5jbx6),
- .d(N3jbx6),
- .o(_al_u3175_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3176 (
- .a(_al_u3175_o),
- .b(Xs1iu6),
- .c(Ar1iu6),
- .d(Kn1qw6),
- .e(Xajbx6),
- .o(_al_u3176_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u3177 (
- .a(Rzciu6_lutinv),
- .b(R9yax6),
- .c(W5ypw6),
- .d(Ztupw6),
- .o(_al_u3177_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*B)*~(E*A))"),
- .INIT(32'h0105030f))
- _al_u3178 (
- .a(F0eow6),
- .b(M0eow6),
- .c(_al_u3177_o),
- .d(Rijbx6),
- .e(Tkjbx6),
- .o(_al_u3178_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3179 (
- .a(Yvgiu6),
- .b(M6eiu6),
- .c(Tmjbx6),
- .d(Uojbx6),
- .o(_al_u3179_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u318 (
- .a(N9now6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r1_o[6]),
- .d(vis_r0_o[6]),
- .o(Xb4pw6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3180 (
- .a(_al_u3178_o),
- .b(_al_u3012_o),
- .c(_al_u3179_o),
- .o(Ibsiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3181 (
- .a(Cs1iu6),
- .b(F7jbx6),
- .o(_al_u3181_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*C)*~(E*B))"),
- .INIT(32'h01110555))
- _al_u3182 (
- .a(_al_u3181_o),
- .b(Iv1iu6),
- .c(Dw1iu6),
- .d(B9jbx6),
- .e(vis_pc_o[8]),
- .o(_al_u3182_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*D*C*B))"),
- .INIT(32'h2aaaaaaa))
- _al_u3183 (
- .a(Wo1iu6),
- .b(_al_u3174_o),
- .c(_al_u3176_o),
- .d(Ibsiu6),
- .e(_al_u3182_o),
- .o(_al_u3183_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(D*~C)*~(E*B))"),
- .INIT(32'hefeeafaa))
- _al_u3184 (
- .a(_al_u3183_o),
- .b(Bo1iu6),
- .c(D7xiu6_lutinv),
- .d(Mh1qw6),
- .e(Yf1qw6),
- .o(Jcphu6));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*C)*~(D*B))"),
- .INIT(32'h01051155))
- _al_u3185 (
- .a(_al_u2979_o),
- .b(St1iu6),
- .c(Zt1iu6),
- .d(HRDATA[8]),
- .e(Vlaax6),
- .o(_al_u3185_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3186 (
- .a(Xs1iu6),
- .b(Cs1iu6),
- .c(Facax6),
- .d(R1eax6),
- .o(_al_u3186_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3187 (
- .a(_al_u3186_o),
- .b(Kw1iu6_lutinv),
- .c(Vr1iu6),
- .d(Fo9ax6),
- .e(Y5dax6),
- .o(_al_u3187_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3188 (
- .a(F0eow6),
- .b(M0eow6),
- .c(C30bx6),
- .d(Us3bx6),
- .o(Dzdow6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3189 (
- .a(Dzdow6),
- .b(Yvgiu6),
- .c(M6eiu6),
- .d(Rq0qw6),
- .e(Ss0qw6),
- .o(W4siu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u319 (
- .a(C7now6_lutinv),
- .b(Panow6_lutinv),
- .c(vis_r6_o[6]),
- .d(vis_r3_o[6]),
- .o(_al_u319_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3190 (
- .a(Dw1iu6),
- .b(Ar1iu6),
- .c(Kxeax6),
- .d(N61qw6),
- .o(_al_u3190_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3191 (
- .a(_al_u3185_o),
- .b(_al_u3187_o),
- .c(W4siu6),
- .d(_al_u3190_o),
- .o(_al_u3191_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B*~(D*C)))"),
- .INIT(16'ha222))
- _al_u3192 (
- .a(Wo1iu6),
- .b(_al_u3191_o),
- .c(Iv1iu6),
- .d(vis_pc_o[7]),
- .o(_al_u3192_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(E*~C)*~(D*B))"),
- .INIT(32'hefafeeaa))
- _al_u3193 (
- .a(_al_u3192_o),
- .b(Bo1iu6),
- .c(D7xiu6_lutinv),
- .d(Ke1qw6),
- .e(M81qw6),
- .o(Qcphu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3194 (
- .a(Xs1iu6),
- .b(Vr1iu6),
- .c(N3eax6),
- .d(U7dax6),
- .o(_al_u3194_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3195 (
- .a(Cs1iu6),
- .b(Zt1iu6),
- .c(Bccax6),
- .d(Rnaax6),
- .o(_al_u3195_o));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(D*A))"),
- .INIT(16'h40c0))
- _al_u3196 (
- .a(St1iu6),
- .b(_al_u3194_o),
- .c(_al_u3195_o),
- .d(HRDATA[7]),
- .o(_al_u3196_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3197 (
- .a(Kw1iu6_lutinv),
- .b(Ar1iu6),
- .c(Asupw6),
- .d(Bq9ax6),
- .o(_al_u3197_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3198 (
- .a(Iv1iu6),
- .b(Dw1iu6),
- .c(vis_pc_o[6]),
- .d(Gzeax6),
- .o(_al_u3198_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3199 (
- .a(K0xiu6),
- .b(Le2qw6),
- .c(Pe7ax6),
- .o(Ixriu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u32 (
- .a(Iqzhu6_lutinv),
- .b(Bp2qw6),
- .o(K84iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u320 (
- .a(V6now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r5_o[6]),
- .d(vis_r2_o[6]),
- .o(_al_u320_o));
- AL_MAP_LUT5 #(
- .EQN("(D*((E*B)*~(A)*~(C)+(E*B)*A*~(C)+~((E*B))*A*C+(E*B)*A*C))"),
- .INIT(32'hac00a000))
- _al_u3200 (
- .a(Ixriu6),
- .b(Cvciu6),
- .c(D43qw6),
- .d(Di3qw6),
- .e(Le2qw6),
- .o(_al_u3200_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u3201 (
- .a(_al_u3196_o),
- .b(_al_u3197_o),
- .c(_al_u3198_o),
- .d(_al_u3200_o),
- .o(_al_u3201_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3202 (
- .a(Hw8ax6),
- .b(Le2qw6),
- .o(_al_u3202_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*B*A)"),
- .INIT(32'h00000008))
- _al_u3203 (
- .a(_al_u3202_o),
- .b(D43qw6),
- .c(Pe7ax6),
- .d(Sqwpw6),
- .e(Zm8ax6),
- .o(_al_u3203_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(~C*B))"),
- .INIT(8'h51))
- _al_u3204 (
- .a(_al_u2979_o),
- .b(_al_u3203_o),
- .c(Di3qw6),
- .o(Wzpiu6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u3205 (
- .a(Ixriu6),
- .b(Cvciu6),
- .c(Le2qw6),
- .o(_al_u3205_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(D@B))"),
- .INIT(16'h0802))
- _al_u3206 (
- .a(_al_u3202_o),
- .b(Pe7ax6),
- .c(Sqwpw6),
- .d(Zm8ax6),
- .o(_al_u3206_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u3207 (
- .a(_al_u3206_o),
- .b(D43qw6),
- .c(Di3qw6),
- .o(_al_u3207_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(~D*~B))"),
- .INIT(16'h0a08))
- _al_u3208 (
- .a(Wzpiu6),
- .b(_al_u3205_o),
- .c(_al_u3207_o),
- .d(Di3qw6),
- .o(F0riu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3209 (
- .a(F0eow6),
- .b(M0eow6),
- .c(C10bx6),
- .d(Qo3bx6),
- .o(_al_u3209_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u321 (
- .a(_al_u317_o),
- .b(Xb4pw6),
- .c(_al_u319_o),
- .d(_al_u320_o),
- .o(P40iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3210 (
- .a(Q0fiu6),
- .b(E1fiu6),
- .c(Mfyax6),
- .d(Wu3bx6),
- .o(_al_u3210_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3211 (
- .a(_al_u3209_o),
- .b(_al_u3210_o),
- .c(M6eiu6),
- .d(Thxax6),
- .o(_al_u3211_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3212 (
- .a(S1fiu6),
- .b(I3fiu6),
- .c(H4zax6),
- .d(S3mpw6),
- .o(_al_u3212_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u3213 (
- .a(_al_u3212_o),
- .b(U2fiu6),
- .c(I74bx6),
- .o(_al_u3213_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3214 (
- .a(Tzdiu6),
- .b(C0fiu6),
- .c(Sn4bx6),
- .d(Yqzax6),
- .o(_al_u3214_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3215 (
- .a(G2fiu6),
- .b(Yvgiu6),
- .c(E05bx6),
- .d(Ujxax6),
- .o(_al_u3215_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3216 (
- .a(_al_u3211_o),
- .b(_al_u3213_o),
- .c(_al_u3214_o),
- .d(_al_u3215_o),
- .o(Pxriu6));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u3217 (
- .a(Wo1iu6),
- .b(_al_u3201_o),
- .c(F0riu6),
- .d(Pxriu6),
- .o(_al_u3217_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(D*~C)*~(E*B))"),
- .INIT(32'hefeeafaa))
- _al_u3218 (
- .a(_al_u3217_o),
- .b(Bo1iu6),
- .c(D7xiu6_lutinv),
- .d(Nckbx6),
- .e(Nd3qw6),
- .o(Xcphu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3219 (
- .a(Tzdiu6),
- .b(M6eiu6),
- .c(Nv9bx6),
- .d(Ox9bx6),
- .o(_al_u3219_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u322 (
- .a(P40iu6),
- .b(Xuzhu6),
- .o(Mifpw6[6]));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3220 (
- .a(_al_u3219_o),
- .b(S1fiu6),
- .c(Q0fiu6),
- .d(R1abx6),
- .e(V5abx6),
- .o(_al_u3220_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3221 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Lr9bx6),
- .d(Nt9bx6),
- .o(_al_u3221_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3222 (
- .a(C0fiu6),
- .b(E1fiu6),
- .c(Pz9bx6),
- .d(T3abx6),
- .o(_al_u3222_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u3223 (
- .a(_al_u3220_o),
- .b(_al_u3221_o),
- .c(_al_u3222_o),
- .d(G2fiu6),
- .e(X7abx6),
- .o(_al_u3223_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3224 (
- .a(Yvgiu6),
- .b(I3fiu6),
- .c(Bcabx6),
- .d(Rv7ax6),
- .o(_al_u3224_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3225 (
- .a(_al_u3223_o),
- .b(_al_u3224_o),
- .c(U2fiu6),
- .d(Z9abx6),
- .o(Kkriu6));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(E*C)*~(D*A))"),
- .INIT(32'h01031133))
- _al_u3226 (
- .a(St1iu6),
- .b(Ve7iu6),
- .c(Cs1iu6),
- .d(HRDATA[6]),
- .e(Lg9bx6),
- .o(_al_u3226_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3227 (
- .a(Zt1iu6),
- .b(Ar1iu6),
- .c(Pe9bx6),
- .d(Ua9bx6),
- .o(_al_u3227_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u3228 (
- .a(_al_u2979_o),
- .b(_al_u3227_o),
- .c(Kw1iu6_lutinv),
- .d(Tc9bx6),
- .o(_al_u3228_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3229 (
- .a(Vr1iu6),
- .b(Dw1iu6),
- .c(Hi9bx6),
- .d(Zl9bx6),
- .o(_al_u3229_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u323 (
- .a(N9now6_lutinv),
- .b(C7now6_lutinv),
- .c(vis_r6_o[9]),
- .d(vis_r1_o[9]),
- .o(_al_u323_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3230 (
- .a(_al_u3229_o),
- .b(Iv1iu6),
- .c(Xs1iu6),
- .d(Dk9bx6),
- .e(vis_pc_o[5]),
- .o(_al_u3230_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*~A)"),
- .INIT(16'h4000))
- _al_u3231 (
- .a(Di3qw6),
- .b(Le2qw6),
- .c(Sqwpw6),
- .d(Zm8ax6),
- .o(Ffqiu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u3232 (
- .a(Ffqiu6),
- .b(D43qw6),
- .c(Hw8ax6),
- .d(Pe7ax6),
- .o(_al_u3232_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3233 (
- .a(_al_u3200_o),
- .b(_al_u3232_o),
- .o(_al_u3233_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u3234 (
- .a(Kkriu6),
- .b(_al_u3226_o),
- .c(_al_u3228_o),
- .d(_al_u3230_o),
- .e(_al_u3233_o),
- .o(_al_u3234_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(~C*A))"),
- .INIT(16'hc4f5))
- _al_u3235 (
- .a(Wo1iu6),
- .b(D7xiu6_lutinv),
- .c(_al_u3234_o),
- .d(Vefax6),
- .o(_al_u3235_o));
- AL_MAP_LUT4 #(
- .EQN("~(~C*A*~(D*B))"),
- .INIT(16'hfdf5))
- _al_u3236 (
- .a(_al_u3235_o),
- .b(Bo1iu6),
- .c(Qwpiu6_lutinv),
- .d(Vn9bx6),
- .o(Edphu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3237 (
- .a(Iv1iu6),
- .b(Dw1iu6),
- .c(C1fax6),
- .d(vis_pc_o[4]),
- .o(_al_u3237_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3238 (
- .a(Vr1iu6),
- .b(Zt1iu6),
- .c(Npaax6),
- .d(Q9dax6),
- .o(_al_u3238_o));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(D*A))"),
- .INIT(16'h40c0))
- _al_u3239 (
- .a(St1iu6),
- .b(_al_u3237_o),
- .c(_al_u3238_o),
- .d(HRDATA[5]),
- .o(_al_u3239_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u324 (
- .a(V6now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[9]),
- .d(vis_r2_o[9]),
- .o(_al_u324_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3240 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Czzax6),
- .d(Mk3bx6),
- .o(_al_u3240_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3241 (
- .a(Yvgiu6),
- .b(M6eiu6),
- .c(X5opw6),
- .d(Y7opw6),
- .o(_al_u3241_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3242 (
- .a(_al_u3240_o),
- .b(_al_u3241_o),
- .c(_al_u1937_o),
- .d(vis_ipsr_o[5]),
- .o(Eariu6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3243 (
- .a(F0riu6),
- .b(_al_u3239_o),
- .c(Eariu6),
- .o(_al_u3243_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3244 (
- .a(Xs1iu6),
- .b(Ar1iu6),
- .c(J5eax6),
- .d(Qc5bx6),
- .o(_al_u3244_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3245 (
- .a(_al_u3244_o),
- .b(Kw1iu6_lutinv),
- .c(Cs1iu6),
- .d(Xdcax6),
- .e(Xr9ax6),
- .o(_al_u3245_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u3246 (
- .a(Wo1iu6),
- .b(_al_u3243_o),
- .c(_al_u3245_o),
- .d(_al_u3233_o),
- .o(_al_u3246_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*A))"),
- .INIT(16'h4c5f))
- _al_u3247 (
- .a(_al_u3002_o),
- .b(D7xiu6_lutinv),
- .c(_al_u128_o),
- .d(Ceabx6),
- .o(_al_u3247_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*C))"),
- .INIT(16'hfbbb))
- _al_u3248 (
- .a(_al_u3246_o),
- .b(_al_u3247_o),
- .c(Bo1iu6),
- .d(Bf3qw6),
- .o(Ldphu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3249 (
- .a(Sg7iu6),
- .b(Jf7iu6),
- .c(P9bax6),
- .d(Zgbax6),
- .o(Idqiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u325 (
- .a(U9now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r0_o[9]),
- .d(vis_r4_o[9]),
- .o(_al_u325_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3250 (
- .a(Idqiu6),
- .b(Kw1iu6_lutinv),
- .c(Iv1iu6),
- .d(Pv9ax6),
- .e(vis_pc_o[2]),
- .o(_al_u3250_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3251 (
- .a(Vr1iu6),
- .b(Zt1iu6),
- .c(Ftaax6),
- .d(Iddax6),
- .o(_al_u3251_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'he67f))
- _al_u3252 (
- .a(D43qw6),
- .b(Hw8ax6),
- .c(Pe7ax6),
- .d(Zm8ax6),
- .o(_al_u3252_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u3253 (
- .a(_al_u3252_o),
- .b(Di3qw6),
- .c(Le2qw6),
- .o(_al_u3253_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3254 (
- .a(_al_u3253_o),
- .b(Sqwpw6),
- .o(_al_u3254_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3255 (
- .a(Cs1iu6),
- .b(Ar1iu6),
- .c(Phcax6),
- .d(T5yax6),
- .o(Hgqiu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u3256 (
- .a(_al_u3250_o),
- .b(_al_u3251_o),
- .c(_al_u3254_o),
- .d(Hgqiu6),
- .o(_al_u3256_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3257 (
- .a(Xs1iu6),
- .b(Fgpiu6),
- .c(B9eax6),
- .d(Thiax6),
- .o(_al_u3257_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u3258 (
- .a(_al_u2979_o),
- .b(_al_u3257_o),
- .c(Dw1iu6),
- .d(U4fax6),
- .o(_al_u3258_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(D*B)*~(E*A))"),
- .INIT(32'h0105030f))
- _al_u3259 (
- .a(F0eow6),
- .b(M0eow6),
- .c(_al_u3177_o),
- .d(Muhbx6),
- .e(Owhbx6),
- .o(_al_u3259_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u326 (
- .a(Panow6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r3_o[9]),
- .d(vis_r5_o[9]),
- .o(_al_u326_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3260 (
- .a(M6eiu6),
- .b(_al_u1937_o),
- .c(Oyhbx6),
- .d(vis_ipsr_o[3]),
- .o(_al_u3260_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3261 (
- .a(_al_u3259_o),
- .b(_al_u3260_o),
- .c(Yvgiu6),
- .d(P0ibx6),
- .o(Tmqiu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u3262 (
- .a(Ffqiu6),
- .b(D43qw6),
- .c(Hw8ax6),
- .d(Pe7ax6),
- .o(_al_u3262_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(D*C*A))"),
- .INIT(16'h1333))
- _al_u3263 (
- .a(_al_u407_o),
- .b(_al_u3262_o),
- .c(Bt2qw6),
- .d(Gr2qw6),
- .o(_al_u3263_o));
- AL_MAP_LUT5 #(
- .EQN("(D*B*A*~(E*C))"),
- .INIT(32'h08008800))
- _al_u3264 (
- .a(_al_u3258_o),
- .b(Tmqiu6),
- .c(St1iu6),
- .d(_al_u3263_o),
- .e(HRDATA[3]),
- .o(_al_u3264_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3265 (
- .a(Di3qw6),
- .b(Le2qw6),
- .c(Sqwpw6),
- .d(Zm8ax6),
- .o(_al_u3265_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hff35f33f))
- _al_u3266 (
- .a(Ffqiu6),
- .b(_al_u3265_o),
- .c(D43qw6),
- .d(Hw8ax6),
- .e(Pe7ax6),
- .o(_al_u3266_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u3267 (
- .a(Nkwiu6),
- .b(D43qw6),
- .c(Le2qw6),
- .d(Pe7ax6),
- .o(Q3qiu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3268 (
- .a(Nkwiu6),
- .b(D43qw6),
- .c(Le2qw6),
- .d(Pe7ax6),
- .o(Fmqiu6));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
- .INIT(16'h220a))
- _al_u3269 (
- .a(_al_u3266_o),
- .b(Q3qiu6),
- .c(Fmqiu6),
- .d(Di3qw6),
- .o(Vvpiu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u327 (
- .a(_al_u323_o),
- .b(_al_u324_o),
- .c(_al_u325_o),
- .d(_al_u326_o),
- .o(U30iu6));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u3270 (
- .a(Wo1iu6),
- .b(_al_u3256_o),
- .c(_al_u3264_o),
- .d(Vvpiu6_lutinv),
- .o(_al_u3270_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(E*~C)*~(D*B))"),
- .INIT(32'hefafeeaa))
- _al_u3271 (
- .a(_al_u3270_o),
- .b(Bo1iu6),
- .c(D7xiu6_lutinv),
- .d(P23qw6),
- .e(Xu2qw6),
- .o(Zdphu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3272 (
- .a(Kw1iu6_lutinv),
- .b(Cs1iu6),
- .c(Lx9ax6),
- .d(Opbax6),
- .o(_al_u3272_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~D*C)*~(E*B))"),
- .INIT(32'h2202aa0a))
- _al_u3273 (
- .a(_al_u3272_o),
- .b(Iv1iu6),
- .c(Q3qiu6),
- .d(Di3qw6),
- .e(vis_pc_o[1]),
- .o(_al_u3273_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3274 (
- .a(Sg7iu6),
- .b(Jf7iu6),
- .c(Dfbax6),
- .d(T7bax6),
- .o(_al_u3274_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3275 (
- .a(Dw1iu6),
- .b(Eg7iu6),
- .c(P0bax6),
- .d(Tceax6),
- .o(_al_u3275_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u3276 (
- .a(_al_u3273_o),
- .b(_al_u3274_o),
- .c(_al_u3275_o),
- .d(_al_u3253_o),
- .o(_al_u3276_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(B*(C*~(D)*~(E)+~(C)*D*~(E)+C*~(D)*E)))"),
- .INIT(32'h55155115))
- _al_u3277 (
- .a(_al_u3254_o),
- .b(Ffqiu6),
- .c(D43qw6),
- .d(Hw8ax6),
- .e(Pe7ax6),
- .o(Qaqiu6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u3278 (
- .a(Qaqiu6),
- .b(Fgpiu6),
- .c(Rkbax6),
- .o(_al_u3278_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3279 (
- .a(Ws4iu6_lutinv),
- .b(Vr1iu6),
- .c(Hlcax6),
- .d(Vz8ax6),
- .o(X3qiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u328 (
- .a(U30iu6),
- .b(Xuzhu6),
- .o(Mifpw6[9]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3280 (
- .a(Zt1iu6),
- .b(Ar1iu6),
- .c(Bvaax6),
- .d(Xrxax6),
- .o(_al_u3280_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3281 (
- .a(_al_u3276_o),
- .b(_al_u3278_o),
- .c(X3qiu6),
- .d(_al_u3280_o),
- .o(_al_u3281_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3282 (
- .a(_al_u407_o),
- .b(Gr2qw6),
- .o(K0qiu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3283 (
- .a(K0qiu6_lutinv),
- .b(Fm7ax6),
- .o(_al_u3283_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u3284 (
- .a(_al_u3283_o),
- .b(St1iu6),
- .c(HRDATA[2]),
- .o(_al_u3284_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3285 (
- .a(_al_u3284_o),
- .b(Wzpiu6),
- .c(Xs1iu6),
- .d(Ahdax6),
- .o(_al_u3285_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3286 (
- .a(Y5eiu6),
- .b(_al_u1937_o),
- .c(vis_ipsr_o[2]),
- .d(Lp7ax6),
- .o(_al_u3286_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3287 (
- .a(_al_u3286_o),
- .b(Fpgiu6),
- .c(Yvgiu6),
- .d(I2zax6),
- .e(Kzabx6),
- .o(_al_u3287_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3288 (
- .a(F0eow6),
- .b(M0eow6),
- .c(L1bbx6),
- .d(N5bbx6),
- .o(_al_u3288_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3289 (
- .a(_al_u3287_o),
- .b(_al_u3288_o),
- .c(M6eiu6),
- .d(Vlxax6),
- .o(Jaqiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u329 (
- .a(C7now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r6_o[31]),
- .d(vis_r5_o[31]),
- .o(_al_u329_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u3290 (
- .a(Wo1iu6),
- .b(_al_u3281_o),
- .c(_al_u3285_o),
- .d(Jaqiu6),
- .o(_al_u3290_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*~(D*~C)*~(E*B))"),
- .INIT(32'hefeeafaa))
- _al_u3291 (
- .a(_al_u3290_o),
- .b(Bo1iu6),
- .c(D7xiu6_lutinv),
- .d(Hg7ax6),
- .e(Xn7ax6),
- .o(Gephu6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3292 (
- .a(Gr2qw6),
- .b(P0bax6),
- .c(Sbfax6),
- .o(_al_u3292_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3293 (
- .a(_al_u3292_o),
- .b(Lbbax6),
- .o(_al_u3293_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3294 (
- .a(_al_u3293_o),
- .b(P9bax6),
- .o(Nvkbx6[7]));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3295 (
- .a(Nvkbx6[7]),
- .b(T7bax6),
- .o(Nvkbx6[3]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3296 (
- .a(L2bax6),
- .b(Tyaax6),
- .o(_al_u3296_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*A*~(~C*B))"),
- .INIT(16'h00a2))
- _al_u3297 (
- .a(Nvkbx6[3]),
- .b(_al_u3296_o),
- .c(vis_pc_o[0]),
- .d(X5bax6),
- .o(_al_u3297_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(C)*~((~D*~A))*~(E)+B*C*~((~D*~A))*~(E)+~(B)*~(C)*~((~D*~A))*E+~(B)*C*~((~D*~A))*E+~(B)*C*(~D*~A)*E+B*C*(~D*~A)*E)"),
- .INIT(32'h3372cc88))
- _al_u3298 (
- .a(Hz0iu6),
- .b(_al_u3297_o),
- .c(_al_u1138_o),
- .d(_al_u3296_o),
- .e(Hz9ax6),
- .o(\eq1/xor_i0[1]_i1[1]_o_lutinv ));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3299 (
- .a(_al_u3292_o),
- .b(T7bax6),
- .o(_al_u3299_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u33 (
- .a(Iqzhu6_lutinv),
- .b(A6cbx6),
- .o(R84iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u330 (
- .a(N9now6_lutinv),
- .b(V6now6_lutinv),
- .c(vis_r2_o[31]),
- .d(vis_r1_o[31]),
- .o(_al_u330_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u3300 (
- .a(_al_u3292_o),
- .b(Pkkbx6),
- .c(X5bax6),
- .o(n6149_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3301 (
- .a(_al_u3299_o),
- .b(n6149_lutinv),
- .o(n6142_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u3302 (
- .a(My0iu6),
- .b(n6142_lutinv),
- .c(Nvkbx6[7]),
- .d(_al_u3296_o),
- .o(Ufkhu6));
- AL_MAP_LUT3 #(
- .EQN("(B*~(~C*A))"),
- .INIT(8'hc4))
- _al_u3303 (
- .a(_al_u3292_o),
- .b(Pkkbx6),
- .c(X5bax6),
- .o(_al_u3303_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*B*(~A*~(E)*~(D)+~A*E*~(D)+~(~A)*E*D+~A*E*D))"),
- .INIT(32'h0c040004))
- _al_u3304 (
- .a(_al_u2757_o),
- .b(Nvkbx6[3]),
- .c(_al_u3303_o),
- .d(_al_u3296_o),
- .e(vis_pc_o[1]),
- .o(Kikhu6));
- AL_MAP_LUT5 #(
- .EQN("(~(D@B)*~((E*C)@A))"),
- .INIT(32'h84214411))
- _al_u3305 (
- .a(Ufkhu6),
- .b(Kikhu6),
- .c(J71iu6_lutinv),
- .d(Lx9ax6),
- .e(N39ax6),
- .o(_al_u3305_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(~C*A))"),
- .INIT(8'h31))
- _al_u3306 (
- .a(n6142_lutinv),
- .b(_al_u3293_o),
- .c(P9bax6),
- .o(_al_u3306_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3307 (
- .a(_al_u2478_o),
- .b(_al_u3306_o),
- .c(_al_u3296_o),
- .d(vis_pc_o[15]),
- .o(S0lhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3308 (
- .a(_al_u3292_o),
- .b(P9bax6),
- .o(_al_u3308_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3309 (
- .a(_al_u3308_o),
- .b(_al_u3293_o),
- .o(_al_u3309_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u331 (
- .a(Panow6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r0_o[31]),
- .d(vis_r3_o[31]),
- .o(_al_u331_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u3310 (
- .a(_al_u3292_o),
- .b(T7bax6),
- .c(X5bax6),
- .o(n6147_lutinv));
- AL_MAP_LUT5 #(
- .EQN("((~A*~(E)*~(D)+~A*E*~(D)+~(~A)*E*D+~A*E*D)*~(~C*B))"),
- .INIT(32'hf3510051))
- _al_u3311 (
- .a(_al_u2354_o),
- .b(_al_u3309_o),
- .c(n6147_lutinv),
- .d(_al_u3296_o),
- .e(vis_pc_o[28]),
- .o(Nhlhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D@B)*~(C@A))"),
- .INIT(16'h8421))
- _al_u3312 (
- .a(S0lhu6),
- .b(Nhlhu6),
- .c(Ue9ax6),
- .d(Wahbx6),
- .o(_al_u3312_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(~C*B))"),
- .INIT(8'h51))
- _al_u3313 (
- .a(n6147_lutinv),
- .b(_al_u3292_o),
- .c(Pkkbx6),
- .o(_al_u3313_o));
- AL_MAP_LUT5 #(
- .EQN("((~A*~(E)*~(D)+~A*E*~(D)+~(~A)*E*D+~A*E*D)*~(C*B))"),
- .INIT(32'h3f150015))
- _al_u3314 (
- .a(_al_u2356_o),
- .b(_al_u3309_o),
- .c(_al_u3313_o),
- .d(_al_u3296_o),
- .e(vis_pc_o[29]),
- .o(Uilhu6));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(~C*A))"),
- .INIT(8'h31))
- _al_u3315 (
- .a(_al_u3308_o),
- .b(_al_u3293_o),
- .c(T7bax6),
- .o(_al_u3315_o));
- AL_MAP_LUT5 #(
- .EQN("~(D@(~B*(~A*~(E)*~(C)+~A*E*~(C)+~(~A)*E*C+~A*E*C)))"),
- .INIT(32'h31ce01fe))
- _al_u3316 (
- .a(_al_u2490_o),
- .b(_al_u3315_o),
- .c(_al_u3296_o),
- .d(Ab9ax6),
- .e(vis_pc_o[18]),
- .o(_al_u3316_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D@B))"),
- .INIT(16'h8020))
- _al_u3317 (
- .a(_al_u3312_o),
- .b(Uilhu6),
- .c(_al_u3316_o),
- .d(J59ax6),
- .o(_al_u3317_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B)"),
- .INIT(8'hd1))
- _al_u3318 (
- .a(_al_u2546_o),
- .b(_al_u3296_o),
- .c(vis_pc_o[5]),
- .o(Ia1iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D@(~C*B*A))"),
- .INIT(16'hf708))
- _al_u3319 (
- .a(Ia1iu6_lutinv),
- .b(Nvkbx6[7]),
- .c(_al_u3313_o),
- .d(Tc9bx6),
- .o(\eq1/xor_i0[6]_i1[6]_o_lutinv ));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u332 (
- .a(E8now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r4_o[31]),
- .d(vis_r7_o[31]),
- .o(_al_u332_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*B))"),
- .INIT(8'ha2))
- _al_u3320 (
- .a(_al_u3309_o),
- .b(_al_u3299_o),
- .c(X5bax6),
- .o(_al_u3320_o));
- AL_MAP_LUT5 #(
- .EQN("(D@(~B*(~A*~(E)*~(C)+~A*E*~(C)+~(~A)*E*C+~A*E*C)))"),
- .INIT(32'hce31fe01))
- _al_u3321 (
- .a(_al_u2581_o),
- .b(_al_u3320_o),
- .c(_al_u3296_o),
- .d(G79ax6),
- .e(vis_pc_o[24]),
- .o(\eq1/xor_i0[25]_i1[25]_o_lutinv ));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~E*D)*~(~B*~A))"),
- .INIT(32'he0e000e0))
- _al_u3322 (
- .a(n6142_lutinv),
- .b(_al_u3308_o),
- .c(_al_u3293_o),
- .d(_al_u3296_o),
- .e(vis_pc_o[7]),
- .o(_al_u3322_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E@(C*~(~D*B))))"),
- .INIT(32'h50100545))
- _al_u3323 (
- .a(\eq1/xor_i0[25]_i1[25]_o_lutinv ),
- .b(_al_u2518_o),
- .c(_al_u3322_o),
- .d(_al_u3296_o),
- .e(Fo9ax6),
- .o(_al_u3323_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(~B*A))"),
- .INIT(8'hd0))
- _al_u3324 (
- .a(_al_u3313_o),
- .b(_al_u3308_o),
- .c(_al_u3293_o),
- .o(Nvkbx6[14]));
- AL_MAP_LUT4 #(
- .EQN("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'hc404))
- _al_u3325 (
- .a(_al_u2538_o),
- .b(Nvkbx6[14]),
- .c(_al_u3296_o),
- .d(vis_pc_o[13]),
- .o(Cykhu6));
- AL_MAP_LUT5 #(
- .EQN("(C*~B*A*~(E@D))"),
- .INIT(32'h20000020))
- _al_u3326 (
- .a(_al_u3317_o),
- .b(\eq1/xor_i0[6]_i1[6]_o_lutinv ),
- .c(_al_u3323_o),
- .d(Cykhu6),
- .e(Qkabx6),
- .o(_al_u3326_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B)"),
- .INIT(8'hd1))
- _al_u3327 (
- .a(_al_u2571_o),
- .b(_al_u3296_o),
- .c(vis_pc_o[23]),
- .o(Gv0iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D@(A*~(C*~B)))"),
- .INIT(16'h758a))
- _al_u3328 (
- .a(Gv0iu6_lutinv),
- .b(n6142_lutinv),
- .c(_al_u3309_o),
- .d(D99ax6),
- .o(\eq1/xor_i0[24]_i1[24]_o_lutinv ));
- AL_MAP_LUT5 #(
- .EQN("(D@(B*(~A*~(E)*~(C)+~A*E*~(C)+~(~A)*E*C+~A*E*C)))"),
- .INIT(32'h3bc4fb04))
- _al_u3329 (
- .a(_al_u2610_o),
- .b(Nvkbx6[3]),
- .c(_al_u3296_o),
- .d(Pv9ax6),
- .e(vis_pc_o[2]),
- .o(\eq1/xor_i0[3]_i1[3]_o_lutinv ));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u333 (
- .a(_al_u329_o),
- .b(_al_u330_o),
- .c(_al_u331_o),
- .d(_al_u332_o),
- .o(R50iu6));
- AL_MAP_LUT5 #(
- .EQN("(~(~D*C)*~(~A*~(~E*B)))"),
- .INIT(32'haa0aee0e))
- _al_u3330 (
- .a(_al_u3293_o),
- .b(n6147_lutinv),
- .c(_al_u3296_o),
- .d(vis_pc_o[20]),
- .e(P9bax6),
- .o(_al_u3330_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E@(C*~(~D*B))))"),
- .INIT(32'h50100545))
- _al_u3331 (
- .a(\eq1/xor_i0[3]_i1[3]_o_lutinv ),
- .b(_al_u2498_o),
- .c(_al_u3330_o),
- .d(_al_u3296_o),
- .e(M4ebx6),
- .o(_al_u3331_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(~D*B)))"),
- .INIT(16'h0a8a))
- _al_u3332 (
- .a(_al_u3293_o),
- .b(_al_u3299_o),
- .c(P9bax6),
- .d(X5bax6),
- .o(Nvkbx6[9]));
- AL_MAP_LUT4 #(
- .EQN("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'hc404))
- _al_u3333 (
- .a(_al_u2620_o),
- .b(Nvkbx6[9]),
- .c(_al_u3296_o),
- .d(vis_pc_o[8]),
- .o(Orkhu6));
- AL_MAP_LUT5 #(
- .EQN("(C*~B*A*~(E@D))"),
- .INIT(32'h20000020))
- _al_u3334 (
- .a(_al_u3326_o),
- .b(\eq1/xor_i0[24]_i1[24]_o_lutinv ),
- .c(_al_u3331_o),
- .d(Orkhu6),
- .e(N3jbx6),
- .o(_al_u3334_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*~C*~(~E*~B)))"),
- .INIT(32'h50555155))
- _al_u3335 (
- .a(_al_u2710_o),
- .b(_al_u2963_o),
- .c(_al_u2964_o),
- .d(S18iu6),
- .e(_al_u1138_o),
- .o(_al_u3335_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*~B))"),
- .INIT(8'h8a))
- _al_u3336 (
- .a(Nvkbx6[7]),
- .b(n6149_lutinv),
- .c(T7bax6),
- .o(Nvkbx6[4]));
- AL_MAP_LUT4 #(
- .EQN("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'hc404))
- _al_u3337 (
- .a(_al_u2615_o),
- .b(Nvkbx6[4]),
- .c(_al_u3296_o),
- .d(vis_pc_o[3]),
- .o(Alkhu6));
- AL_MAP_LUT5 #(
- .EQN("(C*B*(~A*~(E)*~(D)+~A*E*~(D)+~(~A)*E*D+~A*E*D))"),
- .INIT(32'hc0400040))
- _al_u3338 (
- .a(_al_u2605_o),
- .b(Nvkbx6[7]),
- .c(n6147_lutinv),
- .d(_al_u3296_o),
- .e(vis_pc_o[4]),
- .o(Imkhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D@B)*~(C@A))"),
- .INIT(16'h8421))
- _al_u3339 (
- .a(Alkhu6),
- .b(Imkhu6),
- .c(Tt9ax6),
- .d(Xr9ax6),
- .o(_al_u3339_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u334 (
- .a(R50iu6),
- .b(Xuzhu6),
- .o(Mifpw6[31]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3340 (
- .a(Fnpiu6),
- .b(L2bax6),
- .c(Tyaax6),
- .o(Dmpiu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u3341 (
- .a(_al_u3296_o),
- .b(J0iax6),
- .c(L6lax6),
- .d(P5vpw6),
- .o(_al_u3341_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~E*~(D*~C*~A)))"),
- .INIT(32'hcccc0400))
- _al_u3342 (
- .a(_al_u3335_o),
- .b(_al_u3339_o),
- .c(L18iu6),
- .d(Dmpiu6_lutinv),
- .e(_al_u3341_o),
- .o(_al_u3342_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*~B))"),
- .INIT(8'h45))
- _al_u3343 (
- .a(_al_u3315_o),
- .b(_al_u3293_o),
- .c(_al_u3303_o),
- .o(Nvkbx6[18]));
- AL_MAP_LUT4 #(
- .EQN("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'hc404))
- _al_u3344 (
- .a(_al_u2486_o),
- .b(Nvkbx6[18]),
- .c(_al_u3296_o),
- .d(vis_pc_o[17]),
- .o(I3lhu6));
- AL_MAP_LUT4 #(
- .EQN("(D@(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B))"),
- .INIT(16'h2ed1))
- _al_u3345 (
- .a(_al_u2358_o),
- .b(_al_u3296_o),
- .c(vis_pc_o[30]),
- .d(Q2gax6),
- .o(\eq1/xor_i0[31]_i1[31]_o_lutinv ));
- AL_MAP_LUT5 #(
- .EQN("(E@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
- .INIT(32'hcefe3101))
- _al_u3346 (
- .a(_al_u2506_o),
- .b(_al_u3309_o),
- .c(_al_u3296_o),
- .d(vis_pc_o[22]),
- .e(Zvgbx6),
- .o(\eq1/xor_i0[23]_i1[23]_o_lutinv ));
- AL_MAP_LUT5 #(
- .EQN("(D*~C*~B*~(E@A))"),
- .INIT(32'h02000100))
- _al_u3347 (
- .a(I3lhu6),
- .b(\eq1/xor_i0[31]_i1[31]_o_lutinv ),
- .c(\eq1/xor_i0[23]_i1[23]_o_lutinv ),
- .d(_al_u3292_o),
- .e(Xc9ax6),
- .o(_al_u3347_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*~A))"),
- .INIT(8'h0e))
- _al_u3348 (
- .a(_al_u3308_o),
- .b(_al_u3299_o),
- .c(Lbbax6),
- .o(Nvkbx6[11]));
- AL_MAP_LUT5 #(
- .EQN("(E@(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
- .INIT(32'h3bfbc404))
- _al_u3349 (
- .a(_al_u2526_o),
- .b(Nvkbx6[11]),
- .c(_al_u3296_o),
- .d(vis_pc_o[10]),
- .e(Xv8bx6),
- .o(\eq1/xor_i0[11]_i1[11]_o_lutinv ));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u335 (
- .a(N9now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r1_o[4]),
- .d(vis_r7_o[4]),
- .o(_al_u335_o));
- AL_MAP_LUT5 #(
- .EQN("((~A*~(E)*~(D)+~A*E*~(D)+~(~A)*E*D+~A*E*D)*~(C*B))"),
- .INIT(32'h3f150015))
- _al_u3350 (
- .a(_al_u2510_o),
- .b(_al_u3309_o),
- .c(_al_u3303_o),
- .d(_al_u3296_o),
- .e(vis_pc_o[25]),
- .o(_al_u3350_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3351 (
- .a(_al_u3309_o),
- .b(_al_u3299_o),
- .o(_al_u3351_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D@(~C*B)))"),
- .INIT(16'h0451))
- _al_u3352 (
- .a(\eq1/xor_i0[11]_i1[11]_o_lutinv ),
- .b(_al_u3350_o),
- .c(_al_u3351_o),
- .d(Facbx6),
- .o(_al_u3352_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3353 (
- .a(_al_u2482_o),
- .b(_al_u3315_o),
- .c(_al_u3296_o),
- .d(vis_pc_o[16]),
- .o(_al_u3353_o));
- AL_MAP_LUT4 #(
- .EQN("(C@(A*~(D*~B)))"),
- .INIT(16'h785a))
- _al_u3354 (
- .a(_al_u3353_o),
- .b(_al_u3293_o),
- .c(Qjbbx6),
- .d(X5bax6),
- .o(\eq1/xor_i0[17]_i1[17]_o_lutinv ));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~D*~C*~A))"),
- .INIT(16'hccc8))
- _al_u3355 (
- .a(_al_u3308_o),
- .b(_al_u3293_o),
- .c(_al_u3299_o),
- .d(n6149_lutinv),
- .o(Nvkbx6[12]));
- AL_MAP_LUT4 #(
- .EQN("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'hc404))
- _al_u3356 (
- .a(_al_u2456_o),
- .b(Nvkbx6[12]),
- .c(_al_u3296_o),
- .d(vis_pc_o[11]),
- .o(Mvkhu6));
- AL_MAP_LUT5 #(
- .EQN("(~C*B*A*~(E@D))"),
- .INIT(32'h08000008))
- _al_u3357 (
- .a(_al_u3347_o),
- .b(_al_u3352_o),
- .c(\eq1/xor_i0[17]_i1[17]_o_lutinv ),
- .d(Mvkhu6),
- .e(Lk9ax6),
- .o(_al_u3357_o));
- AL_MAP_LUT4 #(
- .EQN("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'hc404))
- _al_u3358 (
- .a(_al_u2530_o),
- .b(Nvkbx6[7]),
- .c(_al_u3296_o),
- .d(vis_pc_o[6]),
- .o(Yokhu6));
- AL_MAP_LUT4 #(
- .EQN("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'hc404))
- _al_u3359 (
- .a(_al_u2542_o),
- .b(_al_u3293_o),
- .c(_al_u3296_o),
- .d(vis_pc_o[14]),
- .o(Kzkhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u336 (
- .a(U9now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r5_o[4]),
- .d(vis_r0_o[4]),
- .o(_al_u336_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D@B)*~(C@A))"),
- .INIT(16'h8421))
- _al_u3360 (
- .a(Yokhu6),
- .b(Kzkhu6),
- .c(Bq9ax6),
- .d(Rg9ax6),
- .o(_al_u3360_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3361 (
- .a(_al_u2502_o),
- .b(_al_u3309_o),
- .c(_al_u3296_o),
- .d(vis_pc_o[21]),
- .o(_al_u3361_o));
- AL_MAP_LUT4 #(
- .EQN("~(D@(A*~(~C*B)))"),
- .INIT(16'ha25d))
- _al_u3362 (
- .a(_al_u3361_o),
- .b(_al_u3313_o),
- .c(_al_u3293_o),
- .d(Tjfbx6),
- .o(_al_u3362_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3363 (
- .a(_al_u2514_o),
- .b(_al_u3351_o),
- .c(_al_u3296_o),
- .d(vis_pc_o[26]),
- .o(Zelhu6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D@C))"),
- .INIT(16'h8008))
- _al_u3364 (
- .a(_al_u3360_o),
- .b(_al_u3362_o),
- .c(Zelhu6),
- .d(Itcbx6),
- .o(_al_u3364_o));
- AL_MAP_LUT5 #(
- .EQN("((~A*~(E)*~(D)+~A*E*~(D)+~(~A)*E*D+~A*E*D)*~(~C*B))"),
- .INIT(32'hf3510051))
- _al_u3365 (
- .a(_al_u2587_o),
- .b(_al_u3351_o),
- .c(n6149_lutinv),
- .d(_al_u3296_o),
- .e(vis_pc_o[27]),
- .o(Gglhu6));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(A*~(D*~C)))"),
- .INIT(16'h1311))
- _al_u3366 (
- .a(_al_u3308_o),
- .b(_al_u3293_o),
- .c(n6149_lutinv),
- .d(T7bax6),
- .o(_al_u3366_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3367 (
- .a(_al_u2494_o),
- .b(_al_u3366_o),
- .c(_al_u3296_o),
- .d(vis_pc_o[19]),
- .o(Y5lhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C@B)*~(D@A))"),
- .INIT(16'h8241))
- _al_u3368 (
- .a(Gglhu6),
- .b(Y5lhu6),
- .c(Fldbx6),
- .d(Nfgax6),
- .o(_al_u3368_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*~A))"),
- .INIT(8'h0e))
- _al_u3369 (
- .a(_al_u3308_o),
- .b(n6147_lutinv),
- .c(Lbbax6),
- .o(Nvkbx6[13]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u337 (
- .a(Panow6_lutinv),
- .b(V6now6_lutinv),
- .c(vis_r2_o[4]),
- .d(vis_r3_o[4]),
- .o(_al_u337_o));
- AL_MAP_LUT5 #(
- .EQN("(E@(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
- .INIT(32'h3bfbc404))
- _al_u3370 (
- .a(_al_u2534_o),
- .b(Nvkbx6[13]),
- .c(_al_u3296_o),
- .d(vis_pc_o[12]),
- .e(Oi9ax6),
- .o(\eq1/xor_i0[13]_i1[13]_o_lutinv ));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*~B))"),
- .INIT(8'h8a))
- _al_u3371 (
- .a(Nvkbx6[11]),
- .b(_al_u3308_o),
- .c(_al_u3303_o),
- .o(Nvkbx6[10]));
- AL_MAP_LUT5 #(
- .EQN("~(D@(B*(~A*~(E)*~(C)+~A*E*~(C)+~(~A)*E*C+~A*E*C)))"),
- .INIT(32'hc43b04fb))
- _al_u3372 (
- .a(_al_u2522_o),
- .b(Nvkbx6[10]),
- .c(_al_u3296_o),
- .d(Im9ax6),
- .e(vis_pc_o[9]),
- .o(_al_u3372_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~D*C*B*A)"),
- .INIT(32'h00800000))
- _al_u3373 (
- .a(_al_u3357_o),
- .b(_al_u3364_o),
- .c(_al_u3368_o),
- .d(\eq1/xor_i0[13]_i1[13]_o_lutinv ),
- .e(_al_u3372_o),
- .o(_al_u3373_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*~A)"),
- .INIT(32'h40000000))
- _al_u3374 (
- .a(\eq1/xor_i0[1]_i1[1]_o_lutinv ),
- .b(_al_u3305_o),
- .c(_al_u3334_o),
- .d(_al_u3342_o),
- .e(_al_u3373_o),
- .o(_al_u3374_o));
- AL_MAP_LUT5 #(
- .EQN("(A*C*~((~D*B))*~(E)+A*~(C)*(~D*B)*~(E)+A*C*(~D*B)*~(E)+~(A)*~(C)*~((~D*B))*E+A*~(C)*~((~D*B))*E+~(A)*C*~((~D*B))*E+A*C*~((~D*B))*E+A*~(C)*(~D*B)*E+A*C*(~D*B)*E)"),
- .INIT(32'hffbba0a8))
- _al_u3375 (
- .a(_al_u3374_o),
- .b(Eg7iu6),
- .c(HREADY),
- .d(Dg2qw6),
- .e(F4ibx6),
- .o(Uephu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3376 (
- .a(R19ax6),
- .b(Zx8ax6),
- .o(_al_u3376_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B)"),
- .INIT(8'hd1))
- _al_u3377 (
- .a(_al_u2615_o),
- .b(_al_u3376_o),
- .c(vis_pc_o[3]),
- .o(C01iu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3378 (
- .a(Gr2qw6),
- .b(Sbfax6),
- .c(Vz8ax6),
- .o(_al_u3378_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3379 (
- .a(_al_u3378_o),
- .b(Vibax6),
- .o(_al_u3379_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u338 (
- .a(C7now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r4_o[4]),
- .d(vis_r6_o[4]),
- .o(_al_u338_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3380 (
- .a(_al_u3379_o),
- .b(Zgbax6),
- .o(Ntkbx6[7]));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u3381 (
- .a(_al_u3378_o),
- .b(Hdbax6),
- .c(Tikbx6),
- .o(n6123_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u3382 (
- .a(n6123_lutinv),
- .b(Dfbax6),
- .o(_al_u3382_o));
- AL_MAP_LUT4 #(
- .EQN("(D@(~C*B*A))"),
- .INIT(16'hf708))
- _al_u3383 (
- .a(C01iu6_lutinv),
- .b(Ntkbx6[7]),
- .c(_al_u3382_o),
- .d(Jraax6),
- .o(\eq0/xor_i0[4]_i1[4]_o_lutinv ));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3384 (
- .a(_al_u3378_o),
- .b(Zgbax6),
- .o(_al_u3384_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3385 (
- .a(_al_u3384_o),
- .b(_al_u3379_o),
- .o(_al_u3385_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3386 (
- .a(_al_u2502_o),
- .b(_al_u3385_o),
- .c(_al_u3376_o),
- .d(vis_pc_o[21]),
- .o(_al_u3386_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u3387 (
- .a(_al_u3378_o),
- .b(Dfbax6),
- .c(Hdbax6),
- .o(n6121_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(~C*B))"),
- .INIT(8'h51))
- _al_u3388 (
- .a(n6121_lutinv),
- .b(_al_u3378_o),
- .c(Tikbx6),
- .o(_al_u3388_o));
- AL_MAP_LUT4 #(
- .EQN("(D@(A*~(~C*B)))"),
- .INIT(16'h5da2))
- _al_u3389 (
- .a(_al_u3386_o),
- .b(_al_u3388_o),
- .c(_al_u3379_o),
- .d(Qlfbx6),
- .o(\eq0/xor_i0[22]_i1[22]_o_lutinv ));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u339 (
- .a(_al_u335_o),
- .b(_al_u336_o),
- .c(_al_u337_o),
- .d(_al_u338_o),
- .o(D50iu6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(~D*C))"),
- .INIT(16'h8808))
- _al_u3390 (
- .a(Ntkbx6[7]),
- .b(n6121_lutinv),
- .c(_al_u3376_o),
- .d(vis_pc_o[4]),
- .o(_al_u3390_o));
- AL_MAP_LUT4 #(
- .EQN("~(D@(B*~(~C*A)))"),
- .INIT(16'hc43b))
- _al_u3391 (
- .a(_al_u2605_o),
- .b(_al_u3390_o),
- .c(_al_u3376_o),
- .d(Npaax6),
- .o(_al_u3391_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(~C*B))"),
- .INIT(8'h51))
- _al_u3392 (
- .a(_al_u3379_o),
- .b(n6121_lutinv),
- .c(Zgbax6),
- .o(_al_u3392_o));
- AL_MAP_LUT5 #(
- .EQN("~(E@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
- .INIT(32'h3101cefe))
- _al_u3393 (
- .a(_al_u2498_o),
- .b(_al_u3392_o),
- .c(_al_u3376_o),
- .d(vis_pc_o[20]),
- .e(J6ebx6),
- .o(_al_u3393_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u3394 (
- .a(\eq0/xor_i0[4]_i1[4]_o_lutinv ),
- .b(\eq0/xor_i0[22]_i1[22]_o_lutinv ),
- .c(_al_u3391_o),
- .d(_al_u3393_o),
- .o(_al_u3394_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u3395 (
- .a(_al_u3379_o),
- .b(Dfbax6),
- .c(Zgbax6),
- .o(Ntkbx6[11]));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(~C*B))"),
- .INIT(8'h51))
- _al_u3396 (
- .a(Ntkbx6[11]),
- .b(n6123_lutinv),
- .c(Vibax6),
- .o(_al_u3396_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3397 (
- .a(_al_u2456_o),
- .b(_al_u3396_o),
- .c(_al_u3376_o),
- .d(vis_pc_o[11]),
- .o(Ybihu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3398 (
- .a(_al_u3378_o),
- .b(Dfbax6),
- .o(_al_u3398_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~(~C*B)))"),
- .INIT(16'h08aa))
- _al_u3399 (
- .a(_al_u3379_o),
- .b(_al_u3398_o),
- .c(Hdbax6),
- .d(Zgbax6),
- .o(Ntkbx6[9]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u34 (
- .a(Iqzhu6_lutinv),
- .b(Drcbx6),
- .o(Y84iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u340 (
- .a(D50iu6),
- .b(Xuzhu6),
- .o(Mifpw6[4]));
- AL_MAP_LUT5 #(
- .EQN("(E@(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
- .INIT(32'h3bfbc404))
- _al_u3400 (
- .a(_al_u2620_o),
- .b(Ntkbx6[9]),
- .c(_al_u3376_o),
- .d(vis_pc_o[8]),
- .e(J5jbx6),
- .o(\eq0/xor_i0[9]_i1[9]_o_lutinv ));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(D@B))"),
- .INIT(16'h0802))
- _al_u3401 (
- .a(_al_u3394_o),
- .b(Ybihu6),
- .c(\eq0/xor_i0[9]_i1[9]_o_lutinv ),
- .d(Biaax6),
- .o(_al_u3401_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3402 (
- .a(_al_u2494_o),
- .b(_al_u3385_o),
- .c(_al_u3376_o),
- .d(vis_pc_o[19]),
- .o(_al_u3402_o));
- AL_MAP_LUT4 #(
- .EQN("~(D@(A*~(~C*B)))"),
- .INIT(16'ha25d))
- _al_u3403 (
- .a(_al_u3402_o),
- .b(_al_u3382_o),
- .c(_al_u3379_o),
- .d(Cndbx6),
- .o(_al_u3403_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(~C*A))"),
- .INIT(8'h31))
- _al_u3404 (
- .a(_al_u3384_o),
- .b(_al_u3379_o),
- .c(Dfbax6),
- .o(_al_u3404_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3405 (
- .a(_al_u2482_o),
- .b(_al_u3404_o),
- .c(_al_u3376_o),
- .d(vis_pc_o[16]),
- .o(_al_u3405_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E@(B*~(D*~C))))"),
- .INIT(32'h80882a22))
- _al_u3406 (
- .a(_al_u3403_o),
- .b(_al_u3405_o),
- .c(_al_u3379_o),
- .d(Hdbax6),
- .e(Nlbbx6),
- .o(_al_u3406_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(~C*A))"),
- .INIT(8'hc4))
- _al_u3407 (
- .a(_al_u3378_o),
- .b(Hdbax6),
- .c(Tikbx6),
- .o(_al_u3407_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*B))"),
- .INIT(8'ha2))
- _al_u3408 (
- .a(_al_u3385_o),
- .b(_al_u3398_o),
- .c(_al_u3407_o),
- .o(_al_u3408_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3409 (
- .a(_al_u2510_o),
- .b(_al_u3408_o),
- .c(_al_u3376_o),
- .d(vis_pc_o[25]),
- .o(Guihu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u341 (
- .a(C7now6_lutinv),
- .b(Panow6_lutinv),
- .c(vis_r3_o[2]),
- .d(vis_r6_o[2]),
- .o(_al_u341_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3410 (
- .a(_al_u3385_o),
- .b(_al_u3398_o),
- .o(_al_u3410_o));
- AL_MAP_LUT5 #(
- .EQN("((~A*~(E)*~(D)+~A*E*~(D)+~(~A)*E*D+~A*E*D)*~(~C*B))"),
- .INIT(32'hf3510051))
- _al_u3411 (
- .a(_al_u2587_o),
- .b(_al_u3410_o),
- .c(n6123_lutinv),
- .d(_al_u3376_o),
- .e(vis_pc_o[27]),
- .o(Wwihu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E@C)*~(D@B))"),
- .INIT(32'h80200802))
- _al_u3412 (
- .a(_al_u3406_o),
- .b(Guihu6),
- .c(Wwihu6),
- .d(Cccbx6),
- .e(Khgax6),
- .o(_al_u3412_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3413 (
- .a(Ntkbx6[7]),
- .b(Dfbax6),
- .o(Ntkbx6[3]));
- AL_MAP_LUT4 #(
- .EQN("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'hc404))
- _al_u3414 (
- .a(_al_u2610_o),
- .b(Ntkbx6[3]),
- .c(_al_u3376_o),
- .d(vis_pc_o[2]),
- .o(E0ihu6));
- AL_MAP_LUT3 #(
- .EQN("(B*~(~C*~A))"),
- .INIT(8'hc8))
- _al_u3415 (
- .a(_al_u3384_o),
- .b(_al_u3379_o),
- .c(n6121_lutinv),
- .o(Ntkbx6[13]));
- AL_MAP_LUT4 #(
- .EQN("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'hc404))
- _al_u3416 (
- .a(_al_u2534_o),
- .b(Ntkbx6[13]),
- .c(_al_u3376_o),
- .d(vis_pc_o[12]),
- .o(Gdihu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C@B)*~(D@A))"),
- .INIT(16'h8241))
- _al_u3417 (
- .a(E0ihu6),
- .b(Gdihu6),
- .c(Egaax6),
- .d(Ftaax6),
- .o(_al_u3417_o));
- AL_MAP_LUT4 #(
- .EQN("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'hc404))
- _al_u3418 (
- .a(_al_u2542_o),
- .b(_al_u3379_o),
- .c(_al_u3376_o),
- .d(vis_pc_o[14]),
- .o(Wfihu6));
- AL_MAP_LUT4 #(
- .EQN("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'hc404))
- _al_u3419 (
- .a(_al_u2530_o),
- .b(Ntkbx6[7]),
- .c(_al_u3376_o),
- .d(vis_pc_o[6]),
- .o(K5ihu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u342 (
- .a(V6now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r2_o[2]),
- .d(vis_r7_o[2]),
- .o(_al_u342_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D@B)*~(C@A))"),
- .INIT(16'h8421))
- _al_u3420 (
- .a(Wfihu6),
- .b(K5ihu6),
- .c(Heaax6),
- .d(Rnaax6),
- .o(_al_u3420_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3421 (
- .a(_al_u3401_o),
- .b(_al_u3412_o),
- .c(_al_u3417_o),
- .d(_al_u3420_o),
- .o(_al_u3421_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*~B))"),
- .INIT(8'h8a))
- _al_u3422 (
- .a(Ntkbx6[11]),
- .b(_al_u3384_o),
- .c(_al_u3407_o),
- .o(Ntkbx6[10]));
- AL_MAP_LUT4 #(
- .EQN("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'hc404))
- _al_u3423 (
- .a(_al_u2522_o),
- .b(Ntkbx6[10]),
- .c(_al_u3376_o),
- .d(vis_pc_o[9]),
- .o(I9ihu6));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3424 (
- .a(_al_u2514_o),
- .b(_al_u3410_o),
- .c(_al_u3376_o),
- .d(vis_pc_o[26]),
- .o(Ovihu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C@B)*~(D@A))"),
- .INIT(16'h8241))
- _al_u3425 (
- .a(I9ihu6),
- .b(Ovihu6),
- .c(Fvcbx6),
- .d(Yjaax6),
- .o(_al_u3425_o));
- AL_MAP_LUT5 #(
- .EQN("((~A*~(E)*~(D)+~A*E*~(D)+~(~A)*E*D+~A*E*D)*~(C*B))"),
- .INIT(32'h3f150015))
- _al_u3426 (
- .a(_al_u2356_o),
- .b(_al_u3385_o),
- .c(_al_u3388_o),
- .d(_al_u3376_o),
- .e(vis_pc_o[29]),
- .o(Mzihu6));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~A*~(D*C)))"),
- .INIT(16'hc888))
- _al_u3427 (
- .a(_al_u3384_o),
- .b(_al_u3379_o),
- .c(_al_u3398_o),
- .d(n6123_lutinv),
- .o(Ntkbx6[8]));
- AL_MAP_LUT5 #(
- .EQN("~(E@(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
- .INIT(32'hc4043bfb))
- _al_u3428 (
- .a(_al_u2518_o),
- .b(Ntkbx6[8]),
- .c(_al_u3376_o),
- .d(vis_pc_o[7]),
- .e(Vlaax6),
- .o(_al_u3428_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D@B))"),
- .INIT(16'h8020))
- _al_u3429 (
- .a(_al_u3425_o),
- .b(Mzihu6),
- .c(_al_u3428_o),
- .d(Z2aax6),
- .o(_al_u3429_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u343 (
- .a(N9now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r1_o[2]),
- .d(vis_r5_o[2]),
- .o(_al_u343_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u3430 (
- .a(_al_u3384_o),
- .b(_al_u3407_o),
- .c(Dfbax6),
- .o(n6103_lutinv));
- AL_MAP_LUT5 #(
- .EQN("((~A*~(E)*~(D)+~A*E*~(D)+~(~A)*E*D+~A*E*D)*~(~C*~B))"),
- .INIT(32'hfc540054))
- _al_u3431 (
- .a(_al_u2486_o),
- .b(n6103_lutinv),
- .c(_al_u3379_o),
- .d(_al_u3376_o),
- .e(vis_pc_o[17]),
- .o(Ujihu6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u3432 (
- .a(_al_u3385_o),
- .b(_al_u3398_o),
- .c(n6123_lutinv),
- .o(_al_u3432_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3433 (
- .a(_al_u2571_o),
- .b(_al_u3432_o),
- .c(_al_u3376_o),
- .d(vis_pc_o[23]),
- .o(Qrihu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D@B)*~(C@A))"),
- .INIT(16'h8421))
- _al_u3434 (
- .a(Ujihu6),
- .b(Qrihu6),
- .c(Naaax6),
- .d(T6aax6),
- .o(_al_u3434_o));
- AL_MAP_LUT4 #(
- .EQN("(D@(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B))"),
- .INIT(16'h2ed1))
- _al_u3435 (
- .a(_al_u2358_o),
- .b(_al_u3376_o),
- .c(vis_pc_o[30]),
- .d(N4gax6),
- .o(\eq0/xor_i0[31]_i1[31]_o_lutinv ));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u3436 (
- .a(_al_u3429_o),
- .b(_al_u3434_o),
- .c(\eq0/xor_i0[31]_i1[31]_o_lutinv ),
- .d(_al_u3378_o),
- .o(_al_u3436_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*B*(~A*~(E)*~(D)+~A*E*~(D)+~(~A)*E*D+~A*E*D))"),
- .INIT(32'h0c040004))
- _al_u3437 (
- .a(_al_u2546_o),
- .b(Ntkbx6[7]),
- .c(_al_u3388_o),
- .d(_al_u3376_o),
- .e(vis_pc_o[5]),
- .o(C4ihu6));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3438 (
- .a(_al_u2490_o),
- .b(_al_u3404_o),
- .c(_al_u3376_o),
- .d(vis_pc_o[18]),
- .o(Clihu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D@B)*~(C@A))"),
- .INIT(16'h8421))
- _al_u3439 (
- .a(C4ihu6),
- .b(Clihu6),
- .c(Pe9bx6),
- .d(Q8aax6),
- .o(_al_u3439_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u344 (
- .a(U9now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r4_o[2]),
- .d(vis_r0_o[2]),
- .o(_al_u344_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3440 (
- .a(_al_u2506_o),
- .b(_al_u3385_o),
- .c(_al_u3376_o),
- .d(vis_pc_o[22]),
- .o(Iqihu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(~B*A))"),
- .INIT(8'hd0))
- _al_u3441 (
- .a(_al_u3388_o),
- .b(_al_u3384_o),
- .c(_al_u3379_o),
- .o(Ntkbx6[14]));
- AL_MAP_LUT5 #(
- .EQN("(D@(B*(~A*~(E)*~(C)+~A*E*~(C)+~(~A)*E*C+~A*E*C)))"),
- .INIT(32'h3bc4fb04))
- _al_u3442 (
- .a(_al_u2538_o),
- .b(Ntkbx6[14]),
- .c(_al_u3376_o),
- .d(Nmabx6),
- .e(vis_pc_o[13]),
- .o(\eq0/xor_i0[14]_i1[14]_o_lutinv ));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(D@B))"),
- .INIT(16'h0802))
- _al_u3443 (
- .a(_al_u3439_o),
- .b(Iqihu6),
- .c(\eq0/xor_i0[14]_i1[14]_o_lutinv ),
- .d(Wxgbx6),
- .o(_al_u3443_o));
- AL_MAP_LUT5 #(
- .EQN("((~A*~(E)*~(D)+~A*E*~(D)+~(~A)*E*D+~A*E*D)*~(~C*B))"),
- .INIT(32'hf3510051))
- _al_u3444 (
- .a(_al_u2354_o),
- .b(_al_u3385_o),
- .c(n6121_lutinv),
- .d(_al_u3376_o),
- .e(vis_pc_o[28]),
- .o(Eyihu6));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(~D*C*A))"),
- .INIT(16'h3313))
- _al_u3445 (
- .a(_al_u3384_o),
- .b(_al_u3379_o),
- .c(n6123_lutinv),
- .d(Dfbax6),
- .o(_al_u3445_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'h3101))
- _al_u3446 (
- .a(_al_u2478_o),
- .b(_al_u3445_o),
- .c(_al_u3376_o),
- .d(vis_pc_o[15]),
- .o(Ehihu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C@B)*~(D@A))"),
- .INIT(16'h8241))
- _al_u3447 (
- .a(Eyihu6),
- .b(Ehihu6),
- .c(Kcaax6),
- .d(Tchbx6),
- .o(_al_u3447_o));
- AL_MAP_LUT4 #(
- .EQN("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
- .INIT(16'hc404))
- _al_u3448 (
- .a(_al_u2526_o),
- .b(Ntkbx6[11]),
- .c(_al_u3376_o),
- .d(vis_pc_o[10]),
- .o(Qaihu6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*B))"),
- .INIT(8'ha2))
- _al_u3449 (
- .a(_al_u3385_o),
- .b(_al_u3398_o),
- .c(Hdbax6),
- .o(_al_u3449_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u345 (
- .a(_al_u341_o),
- .b(_al_u342_o),
- .c(_al_u343_o),
- .d(_al_u344_o),
- .o(F60iu6));
- AL_MAP_LUT5 #(
- .EQN("~(E@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
- .INIT(32'h3101cefe))
- _al_u3450 (
- .a(_al_u2581_o),
- .b(_al_u3449_o),
- .c(_al_u3376_o),
- .d(vis_pc_o[24]),
- .e(W4aax6),
- .o(_al_u3450_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D@B))"),
- .INIT(16'h8020))
- _al_u3451 (
- .a(_al_u3447_o),
- .b(Qaihu6),
- .c(_al_u3450_o),
- .d(Ux8bx6),
- .o(_al_u3451_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3452 (
- .a(_al_u3421_o),
- .b(_al_u3436_o),
- .c(_al_u3443_o),
- .d(_al_u3451_o),
- .o(_al_u3452_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(~D*B))"),
- .INIT(16'h0a02))
- _al_u3453 (
- .a(Ntkbx6[3]),
- .b(_al_u3376_o),
- .c(Hdbax6),
- .d(vis_pc_o[0]),
- .o(_al_u3453_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*B*C*~(D)*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hcc8f3377))
- _al_u3454 (
- .a(Hz0iu6),
- .b(_al_u3453_o),
- .c(_al_u1138_o),
- .d(_al_u3376_o),
- .e(Xwaax6),
- .o(_al_u3454_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B)"),
- .INIT(8'hd1))
- _al_u3455 (
- .a(_al_u2757_o),
- .b(_al_u3376_o),
- .c(vis_pc_o[1]),
- .o(Oz0iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("~(D@(~C*B*A))"),
- .INIT(16'h08f7))
- _al_u3456 (
- .a(Oz0iu6_lutinv),
- .b(Ntkbx6[3]),
- .c(_al_u3407_o),
- .d(Bvaax6),
- .o(_al_u3456_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*B*A)"),
- .INIT(32'h00000008))
- _al_u3457 (
- .a(_al_u3384_o),
- .b(n6123_lutinv),
- .c(_al_u3376_o),
- .d(Dfbax6),
- .e(Vibax6),
- .o(_al_u3457_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~((E*C)@(D*B)))"),
- .INIT(32'h820a22aa))
- _al_u3458 (
- .a(_al_u3456_o),
- .b(My0iu6),
- .c(J71iu6_lutinv),
- .d(_al_u3457_o),
- .e(D1aax6),
- .o(_al_u3458_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3459 (
- .a(Fnpiu6),
- .b(R19ax6),
- .c(Zx8ax6),
- .o(Qipiu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u346 (
- .a(F60iu6),
- .b(Xuzhu6),
- .o(Mifpw6[2]));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u3460 (
- .a(_al_u3376_o),
- .b(J0iax6),
- .c(L6lax6),
- .d(P5vpw6),
- .o(_al_u3460_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(C*~B*~A))"),
- .INIT(16'h00ef))
- _al_u3461 (
- .a(_al_u3335_o),
- .b(L18iu6),
- .c(Qipiu6_lutinv),
- .d(_al_u3460_o),
- .o(Vhpiu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u3462 (
- .a(_al_u3452_o),
- .b(_al_u3454_o),
- .c(_al_u3458_o),
- .d(Vhpiu6),
- .o(_al_u3462_o));
- AL_MAP_LUT5 #(
- .EQN("(A*C*~((~D*B))*~(E)+A*~(C)*(~D*B)*~(E)+A*C*(~D*B)*~(E)+~(A)*~(C)*~((~D*B))*E+A*~(C)*~((~D*B))*E+~(A)*C*~((~D*B))*E+A*C*~((~D*B))*E+A*~(C)*(~D*B)*E+A*C*(~D*B)*E)"),
- .INIT(32'hffbba0a8))
- _al_u3463 (
- .a(_al_u3462_o),
- .b(Ws4iu6_lutinv),
- .c(HREADY),
- .d(Dg2qw6),
- .e(Vpkpw6),
- .o(Bfphu6));
- AL_MAP_LUT5 #(
- .EQN("(E*D*~C*B*~A)"),
- .INIT(32'h04000000))
- _al_u3464 (
- .a(J0gax6),
- .b(Nrqpw6),
- .c(Sqfax6),
- .d(Tb3qw6),
- .e(Uofax6),
- .o(_al_u3464_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*D*C*B*A)"),
- .INIT(32'h00008000))
- _al_u3465 (
- .a(_al_u2917_o),
- .b(_al_u3464_o),
- .c(Iqzhu6_lutinv),
- .d(Gpqpw6),
- .e(Nmfax6),
- .o(Oa4iu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfeba7430))
- _al_u3466 (
- .a(Oa4iu6),
- .b(n394),
- .c(Gl1qw6),
- .d(Yf1qw6),
- .e(Vrkbx6[10]),
- .o(N6xhu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfeba7430))
- _al_u3467 (
- .a(Oa4iu6),
- .b(n394),
- .c(Gc1qw6),
- .d(Ke1qw6),
- .e(Vrkbx6[9]),
- .o(U6xhu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfbea7340))
- _al_u3468 (
- .a(Oa4iu6),
- .b(n394),
- .c(Nd3qw6),
- .d(O1mpw6),
- .e(Vrkbx6[8]),
- .o(B7xhu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfeba7430))
- _al_u3469 (
- .a(Oa4iu6),
- .b(n394),
- .c(Q89bx6),
- .d(Vn9bx6),
- .e(Vrkbx6[7]),
- .o(I7xhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u347 (
- .a(N9now6_lutinv),
- .b(Panow6_lutinv),
- .c(vis_r1_o[3]),
- .d(vis_r3_o[3]),
- .o(_al_u347_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfbea7340))
- _al_u3470 (
- .a(Oa4iu6),
- .b(n394),
- .c(Bf3qw6),
- .d(T3opw6),
- .e(Vrkbx6[6]),
- .o(P7xhu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfeba7430))
- _al_u3471 (
- .a(Oa4iu6),
- .b(n394),
- .c(P93qw6),
- .d(Pg3qw6),
- .e(Vrkbx6[5]),
- .o(W7xhu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfeba7430))
- _al_u3472 (
- .a(Oa4iu6),
- .b(n394),
- .c(L03qw6),
- .d(P23qw6),
- .e(Vrkbx6[4]),
- .o(D8xhu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfeba7430))
- _al_u3473 (
- .a(Oa4iu6),
- .b(n394),
- .c(Bk7ax6),
- .d(Xn7ax6),
- .e(Vrkbx6[3]),
- .o(K8xhu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfeba7430))
- _al_u3474 (
- .a(Oa4iu6),
- .b(n394),
- .c(M8ipw6),
- .d(Vj3qw6),
- .e(Vrkbx6[2]),
- .o(R8xhu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hfeba7430))
- _al_u3475 (
- .a(Oa4iu6),
- .b(n394),
- .c(I4rpw6),
- .d(Qehbx6),
- .e(Vrkbx6[1]),
- .o(Y8xhu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3476 (
- .a(Scbiu6),
- .b(Ud4iu6),
- .o(_al_u3476_o));
- AL_MAP_LUT5 #(
- .EQN("(~((~B*~A))*C*~(D)*~(E)+~((~B*~A))*~(C)*D*~(E)+~((~B*~A))*C*D*~(E)+~((~B*~A))*~(C)*~(D)*E+(~B*~A)*~(C)*~(D)*E+~((~B*~A))*C*~(D)*E+~((~B*~A))*~(C)*D*E+(~B*~A)*~(C)*D*E+~((~B*~A))*C*D*E)"),
- .INIT(32'hefefeee0))
- _al_u3477 (
- .a(_al_u3462_o),
- .b(_al_u3374_o),
- .c(_al_u3476_o),
- .d(HREADY),
- .e(Rkbax6),
- .o(Ifphu6));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*C))"),
- .INIT(16'h0222))
- _al_u3478 (
- .a(_al_u2801_o),
- .b(_al_u1065_o),
- .c(_al_u394_o),
- .d(Vzupw6),
- .o(_al_u3478_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~B*~A)"),
- .INIT(8'hef))
- _al_u3479 (
- .a(_al_u3462_o),
- .b(_al_u3374_o),
- .c(_al_u3478_o),
- .o(Dt4iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u348 (
- .a(X7now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r5_o[3]),
- .d(vis_r7_o[3]),
- .o(_al_u348_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3480 (
- .a(Fgpiu6),
- .b(Ar1iu6),
- .c(I1lpw6),
- .d(Lmkbx6),
- .o(_al_u3480_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u3481 (
- .a(K0qiu6_lutinv),
- .b(_al_u3480_o),
- .c(Ve7iu6),
- .d(H4bax6),
- .o(_al_u3481_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3482 (
- .a(Vr1iu6),
- .b(Cs1iu6),
- .c(Efdax6),
- .d(Ljcax6),
- .o(_al_u3482_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u3483 (
- .a(Cvciu6),
- .b(D43qw6),
- .c(Di3qw6),
- .d(Le2qw6),
- .o(_al_u3483_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*B*A*~(E*C))"),
- .INIT(32'h00080088))
- _al_u3484 (
- .a(_al_u3481_o),
- .b(_al_u3482_o),
- .c(Zt1iu6),
- .d(_al_u3483_o),
- .e(D1aax6),
- .o(_al_u3484_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3485 (
- .a(Yc7iu6),
- .b(Jf7iu6),
- .c(Eafax6),
- .d(Tikbx6),
- .o(Howiu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3486 (
- .a(Howiu6),
- .b(Sg7iu6),
- .c(Ws4iu6_lutinv),
- .d(Pkkbx6),
- .e(Zx8ax6),
- .o(_al_u3486_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3487 (
- .a(Kw1iu6_lutinv),
- .b(Eg7iu6),
- .c(N39ax6),
- .d(Tyaax6),
- .o(_al_u3487_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3488 (
- .a(Xs1iu6),
- .b(Dw1iu6),
- .c(Q6fax6),
- .d(Xaeax6),
- .o(_al_u3488_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3489 (
- .a(_al_u3484_o),
- .b(_al_u3486_o),
- .c(_al_u3487_o),
- .d(_al_u3488_o),
- .o(_al_u3489_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u349 (
- .a(C7now6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r0_o[3]),
- .d(vis_r6_o[3]),
- .o(_al_u349_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3490 (
- .a(_al_u1937_o),
- .b(M0eow6),
- .c(vis_ipsr_o[0]),
- .d(U31bx6),
- .o(_al_u3490_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3491 (
- .a(_al_u3490_o),
- .b(Yvgiu6),
- .c(M6eiu6),
- .d(M6rpw6),
- .e(N8rpw6),
- .o(_al_u3491_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3492 (
- .a(_al_u3491_o),
- .b(Y5eiu6),
- .c(F0eow6),
- .d(C3wpw6),
- .e(Zszax6),
- .o(M1xiu6));
- AL_MAP_LUT5 #(
- .EQN("(D*~B*A*~(~E*~C))"),
- .INIT(32'h22002000))
- _al_u3493 (
- .a(Nkwiu6),
- .b(D43qw6),
- .c(Di3qw6),
- .d(Le2qw6),
- .e(Pe7ax6),
- .o(_al_u3493_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u3494 (
- .a(M1xiu6),
- .b(Qaqiu6),
- .c(_al_u3232_o),
- .d(_al_u3493_o),
- .o(_al_u3494_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~B*~(D*A))"),
- .INIT(16'h1030))
- _al_u3495 (
- .a(St1iu6),
- .b(_al_u3207_o),
- .c(_al_u3266_o),
- .d(HRDATA[0]),
- .o(_al_u3495_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*D*C*B))"),
- .INIT(32'h2aaaaaaa))
- _al_u3496 (
- .a(Wo1iu6),
- .b(Yw1iu6),
- .c(_al_u3489_o),
- .d(_al_u3494_o),
- .e(_al_u3495_o),
- .o(_al_u3496_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u3497 (
- .a(Qwpiu6_lutinv),
- .b(D7xiu6_lutinv),
- .c(H3lpw6),
- .d(Ksgax6),
- .o(_al_u3497_o));
- AL_MAP_LUT5 #(
- .EQN("~(~D*B*~A*~(E*C))"),
- .INIT(32'hfffbffbb))
- _al_u3498 (
- .a(_al_u3496_o),
- .b(_al_u3497_o),
- .c(Bo1iu6),
- .d(_al_u3002_o),
- .e(Qehbx6),
- .o(L6phu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3499 (
- .a(Zt1iu6),
- .b(Ar1iu6),
- .c(Jraax6),
- .d(Wtxax6),
- .o(_al_u3499_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u35 (
- .a(Iqzhu6_lutinv),
- .b(D2rpw6),
- .o(F94iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u350 (
- .a(V6now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r4_o[3]),
- .d(vis_r2_o[3]),
- .o(_al_u350_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~C*B*~(E*A))"),
- .INIT(32'h0004000c))
- _al_u3500 (
- .a(St1iu6),
- .b(_al_u3499_o),
- .c(_al_u3232_o),
- .d(_al_u3203_o),
- .e(HRDATA[4]),
- .o(_al_u3500_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3501 (
- .a(Vr1iu6),
- .b(Dw1iu6),
- .c(Mbdax6),
- .d(Y2fax6),
- .o(_al_u3501_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3502 (
- .a(_al_u3501_o),
- .b(Kw1iu6_lutinv),
- .c(Jf7iu6),
- .d(Tt9ax6),
- .e(Vibax6),
- .o(_al_u3502_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3503 (
- .a(Sg7iu6),
- .b(Iv1iu6),
- .c(Lbbax6),
- .d(vis_pc_o[3]),
- .o(_al_u3503_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3504 (
- .a(Xs1iu6),
- .b(Cs1iu6),
- .c(F7eax6),
- .d(Tfcax6),
- .o(_al_u3504_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3505 (
- .a(_al_u3500_o),
- .b(_al_u3502_o),
- .c(_al_u3503_o),
- .d(_al_u3504_o),
- .o(_al_u3505_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3506 (
- .a(F0eow6),
- .b(_al_u1937_o),
- .c(vis_ipsr_o[4]),
- .d(Ikhbx6),
- .o(Hrgow6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3507 (
- .a(Hrgow6),
- .b(Fpgiu6),
- .c(Yvgiu6),
- .d(Johbx6),
- .e(Kqhbx6),
- .o(_al_u3507_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3508 (
- .a(_al_u3507_o),
- .b(M6eiu6),
- .c(M0eow6),
- .d(Gihbx6),
- .e(Imhbx6),
- .o(Yzqiu6));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u3509 (
- .a(Wo1iu6),
- .b(F0riu6),
- .c(_al_u3505_o),
- .d(Yzqiu6),
- .o(_al_u3509_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u351 (
- .a(_al_u347_o),
- .b(_al_u348_o),
- .c(_al_u349_o),
- .d(_al_u350_o),
- .o(K50iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*A))"),
- .INIT(16'h4c5f))
- _al_u3510 (
- .a(Bo1iu6),
- .b(D7xiu6_lutinv),
- .c(Pg3qw6),
- .d(V53qw6),
- .o(_al_u3510_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*C))"),
- .INIT(16'hfbbb))
- _al_u3511 (
- .a(_al_u3509_o),
- .b(_al_u3510_o),
- .c(Qwpiu6_lutinv),
- .d(Tb3qw6),
- .o(Sdphu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u3512 (
- .a(D7xiu6_lutinv),
- .b(L9bbx6),
- .o(_al_u3512_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3513 (
- .a(Kw1iu6_lutinv),
- .b(Fgpiu6),
- .c(Hz9ax6),
- .d(Xnbax6),
- .o(_al_u3513_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(E*C)*~(D*A))"),
- .INIT(32'h040c44cc))
- _al_u3514 (
- .a(St1iu6),
- .b(_al_u3513_o),
- .c(K0qiu6_lutinv),
- .d(HRDATA[1]),
- .e(Isjpw6),
- .o(_al_u3514_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3515 (
- .a(Eg7iu6),
- .b(Ar1iu6),
- .c(L2bax6),
- .d(Nu5bx6),
- .o(_al_u3515_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3516 (
- .a(_al_u3514_o),
- .b(_al_u3515_o),
- .c(Zt1iu6),
- .d(Xwaax6),
- .o(_al_u3516_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3517 (
- .a(Jf7iu6),
- .b(Hdbax6),
- .o(_al_u3517_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*C)*~(E*B))"),
- .INIT(32'h01110555))
- _al_u3518 (
- .a(_al_u3517_o),
- .b(Sg7iu6),
- .c(Ws4iu6_lutinv),
- .d(R19ax6),
- .e(X5bax6),
- .o(_al_u3518_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3519 (
- .a(_al_u3518_o),
- .b(Vvpiu6_lutinv),
- .c(Iv1iu6),
- .d(vis_pc_o[0]),
- .o(_al_u3519_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u352 (
- .a(K50iu6),
- .b(Xuzhu6),
- .o(Mifpw6[3]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3520 (
- .a(Fpgiu6),
- .b(_al_u1937_o),
- .c(G0zax6),
- .d(vis_ipsr_o[1]),
- .o(_al_u3520_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u3521 (
- .a(_al_u3520_o),
- .b(Yvgiu6),
- .c(M6eiu6),
- .d(Oarpw6),
- .e(Wnxax6),
- .o(_al_u3521_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3522 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Aa2bx6),
- .d(Cxzax6),
- .o(_al_u3522_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3523 (
- .a(_al_u3521_o),
- .b(_al_u3522_o),
- .c(Y5eiu6),
- .d(Avzax6),
- .o(Ovpiu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3524 (
- .a(Yw1iu6),
- .b(_al_u3516_o),
- .c(_al_u3519_o),
- .d(Ovpiu6),
- .o(_al_u3524_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(~E*B)*~(~D*A))"),
- .INIT(32'h0f050301))
- _al_u3525 (
- .a(Wo1iu6),
- .b(_al_u3002_o),
- .c(_al_u3512_o),
- .d(_al_u3524_o),
- .e(_al_u128_o),
- .o(_al_u3525_o));
- AL_MAP_LUT5 #(
- .EQN("~(A*~(D*C)*~(E*B))"),
- .INIT(32'hfdddf555))
- _al_u3526 (
- .a(_al_u3525_o),
- .b(Bo1iu6),
- .c(Qwpiu6_lutinv),
- .d(Dugax6),
- .e(Vj3qw6),
- .o(Nephu6));
- AL_MAP_LUT4 #(
- .EQN("((D@B)*(C@A))"),
- .INIT(16'h1248))
- _al_u3527 (
- .a(_al_u2478_o),
- .b(_al_u2490_o),
- .c(Owcax6),
- .d(Uscax6),
- .o(_al_u3527_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(D@C)*(E@A))"),
- .INIT(32'h04400880))
- _al_u3528 (
- .a(_al_u2757_o),
- .b(_al_u3527_o),
- .c(_al_u2526_o),
- .d(F59bx6),
- .e(Hlcax6),
- .o(_al_u3528_o));
- AL_MAP_LUT4 #(
- .EQN("((C@B)*~(~D*~A))"),
- .INIT(16'h3c28))
- _al_u3529 (
- .a(_al_u2546_o),
- .b(_al_u2610_o),
- .c(Iddax6),
- .d(Zl9bx6),
- .o(_al_u3529_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u353 (
- .a(N9now6_lutinv),
- .b(C7now6_lutinv),
- .c(vis_r6_o[5]),
- .d(vis_r1_o[5]),
- .o(_al_u353_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3530 (
- .a(_al_u2482_o),
- .b(_al_u2510_o),
- .c(Btbbx6),
- .d(Qjcbx6),
- .o(_al_u3530_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*(D@C))"),
- .INIT(16'h0880))
- _al_u3531 (
- .a(_al_u3529_o),
- .b(_al_u3530_o),
- .c(_al_u2615_o),
- .d(Mbdax6),
- .o(_al_u3531_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3532 (
- .a(_al_u2514_o),
- .b(T2dbx6),
- .o(_al_u3532_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*(E@C)*~(~D*~B))"),
- .INIT(32'h05045040))
- _al_u3533 (
- .a(_al_u3532_o),
- .b(_al_u2482_o),
- .c(_al_u2494_o),
- .d(Btbbx6),
- .e(Qudbx6),
- .o(_al_u3533_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(D*A))"),
- .INIT(16'h54fc))
- _al_u3534 (
- .a(_al_u2518_o),
- .b(_al_u2534_o),
- .c(I0dax6),
- .d(Y5dax6),
- .o(_al_u3534_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(~C*~A))"),
- .INIT(16'h32fa))
- _al_u3535 (
- .a(_al_u2514_o),
- .b(_al_u2546_o),
- .c(T2dbx6),
- .d(Zl9bx6),
- .o(_al_u3535_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3536 (
- .a(_al_u3531_o),
- .b(_al_u3533_o),
- .c(_al_u3534_o),
- .d(_al_u3535_o),
- .o(_al_u3536_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(~D*~A))"),
- .INIT(16'hfca8))
- _al_u3537 (
- .a(_al_u2498_o),
- .b(_al_u2510_o),
- .c(Qjcbx6),
- .d(Xdebx6),
- .o(_al_u3537_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(~E*~B))"),
- .INIT(32'h0aaa0888))
- _al_u3538 (
- .a(_al_u3537_o),
- .b(_al_u2518_o),
- .c(_al_u2542_o),
- .d(Lycax6),
- .e(Y5dax6),
- .o(_al_u3538_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(D*A))"),
- .INIT(16'h54fc))
- _al_u3539 (
- .a(_al_u2486_o),
- .b(_al_u2542_o),
- .c(Lycax6),
- .d(Rucax6),
- .o(_al_u3539_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u354 (
- .a(V6now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[5]),
- .d(vis_r2_o[5]),
- .o(_al_u354_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*(D@C))"),
- .INIT(16'h0880))
- _al_u3540 (
- .a(_al_u3538_o),
- .b(_al_u3539_o),
- .c(_al_u2530_o),
- .d(U7dax6),
- .o(_al_u3540_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(~E*~B)*(C@A))"),
- .INIT(32'h5a004800))
- _al_u3541 (
- .a(_al_u2522_o),
- .b(_al_u2605_o),
- .c(C4dax6),
- .d(Efdax6),
- .e(Q9dax6),
- .o(_al_u3541_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(D@C)*(E@B))"),
- .INIT(32'h02200880))
- _al_u3542 (
- .a(_al_u3541_o),
- .b(_al_u2456_o),
- .c(_al_u2538_o),
- .d(Buabx6),
- .e(F2dax6),
- .o(_al_u3542_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3543 (
- .a(_al_u2571_o),
- .b(Xqcax6),
- .o(_al_u3543_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*C)*(D@B))"),
- .INIT(32'h01041144))
- _al_u3544 (
- .a(_al_u3543_o),
- .b(_al_u2506_o),
- .c(_al_u2605_o),
- .d(K5hbx6),
- .e(Q9dax6),
- .o(_al_u3544_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(~C*~A))"),
- .INIT(16'h32fa))
- _al_u3545 (
- .a(_al_u2486_o),
- .b(_al_u2498_o),
- .c(Rucax6),
- .d(Xdebx6),
- .o(_al_u3545_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*(E@D))"),
- .INIT(32'h00808000))
- _al_u3546 (
- .a(_al_u3542_o),
- .b(_al_u3544_o),
- .c(_al_u3545_o),
- .d(_al_u2620_o),
- .e(Tcjbx6),
- .o(_al_u3546_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3547 (
- .a(_al_u2534_o),
- .b(_al_u2571_o),
- .c(I0dax6),
- .d(Xqcax6),
- .o(_al_u3547_o));
- AL_MAP_LUT4 #(
- .EQN("((D@B)*(C@A))"),
- .INIT(16'h1248))
- _al_u3548 (
- .a(_al_u2502_o),
- .b(_al_u2587_o),
- .c(Etfbx6),
- .d(Yogax6),
- .o(_al_u3548_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*(D@C))"),
- .INIT(16'h0880))
- _al_u3549 (
- .a(_al_u3547_o),
- .b(_al_u3548_o),
- .c(_al_u2581_o),
- .d(Apcax6),
- .o(_al_u3549_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u355 (
- .a(U9now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r0_o[5]),
- .d(vis_r5_o[5]),
- .o(_al_u355_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u3550 (
- .a(_al_u3528_o),
- .b(_al_u3536_o),
- .c(_al_u3540_o),
- .d(_al_u3546_o),
- .e(_al_u3549_o),
- .o(_al_u3550_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~B)*~(~C*~A))"),
- .INIT(16'hfac8))
- _al_u3551 (
- .a(_al_u2482_o),
- .b(_al_u2546_o),
- .c(Knbbx6),
- .d(Lg9bx6),
- .o(_al_u3551_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(~C*~A))"),
- .INIT(8'hc8))
- _al_u3552 (
- .a(_al_u2502_o),
- .b(Ljcax6),
- .c(Nnfbx6),
- .o(_al_u3552_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*(D@C))"),
- .INIT(16'h0880))
- _al_u3553 (
- .a(_al_u3551_o),
- .b(_al_u3552_o),
- .c(_al_u2510_o),
- .d(Zdcbx6),
- .o(_al_u3553_o));
- AL_MAP_LUT3 #(
- .EQN("(B*(C@A))"),
- .INIT(8'h48))
- _al_u3554 (
- .a(_al_u2757_o),
- .b(_al_u3553_o),
- .c(Opbax6),
- .o(_al_u3554_o));
- AL_MAP_LUT4 #(
- .EQN("((D@B)*(C@A))"),
- .INIT(16'h1248))
- _al_u3555 (
- .a(_al_u2522_o),
- .b(_al_u2605_o),
- .c(J8cax6),
- .d(Xdcax6),
- .o(_al_u3555_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(E@C)*(D@B))"),
- .INIT(32'h02082080))
- _al_u3556 (
- .a(_al_u3555_o),
- .b(_al_u2490_o),
- .c(_al_u2571_o),
- .d(Bxbax6),
- .e(Evbax6),
- .o(_al_u3556_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(~D*~A))"),
- .INIT(16'h3f2a))
- _al_u3557 (
- .a(_al_u2538_o),
- .b(_al_u2620_o),
- .c(F7jbx6),
- .d(Koabx6),
- .o(_al_u3557_o));
- AL_MAP_LUT3 #(
- .EQN("(A*(C@B))"),
- .INIT(8'h28))
- _al_u3558 (
- .a(_al_u3557_o),
- .b(_al_u2530_o),
- .c(Bccax6),
- .o(_al_u3558_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~B)*~(C*A))"),
- .INIT(16'h5f4c))
- _al_u3559 (
- .a(_al_u2482_o),
- .b(_al_u2534_o),
- .c(Knbbx6),
- .d(P4cax6),
- .o(_al_u3559_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u356 (
- .a(Panow6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r3_o[5]),
- .d(vis_r4_o[5]),
- .o(_al_u356_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u3560 (
- .a(_al_u3556_o),
- .b(_al_u3558_o),
- .c(_al_u3559_o),
- .d(_al_u2486_o),
- .e(Yybax6),
- .o(_al_u3560_o));
- AL_MAP_LUT4 #(
- .EQN("((D@B)*(C@A))"),
- .INIT(16'h1248))
- _al_u3561 (
- .a(_al_u2456_o),
- .b(_al_u2494_o),
- .c(M6cax6),
- .d(Zodbx6),
- .o(_al_u3561_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(D@C)*(E@B))"),
- .INIT(32'h02200880))
- _al_u3562 (
- .a(_al_u3561_o),
- .b(_al_u2506_o),
- .c(_al_u2615_o),
- .d(Tfcax6),
- .e(Tzgbx6),
- .o(_al_u3562_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(D*A))"),
- .INIT(16'h54fc))
- _al_u3563 (
- .a(_al_u2502_o),
- .b(_al_u2620_o),
- .c(F7jbx6),
- .d(Nnfbx6),
- .o(_al_u3563_o));
- AL_MAP_LUT3 #(
- .EQN("(A*(C@B))"),
- .INIT(8'h28))
- _al_u3564 (
- .a(_al_u3563_o),
- .b(_al_u2498_o),
- .c(G8ebx6),
- .o(_al_u3564_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(~D*~A))"),
- .INIT(16'h3f2a))
- _al_u3565 (
- .a(_al_u2486_o),
- .b(_al_u2546_o),
- .c(Lg9bx6),
- .d(Yybax6),
- .o(_al_u3565_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*(E@D))"),
- .INIT(32'h00808000))
- _al_u3566 (
- .a(_al_u3562_o),
- .b(_al_u3564_o),
- .c(_al_u3565_o),
- .d(_al_u2542_o),
- .e(S2cax6),
- .o(_al_u3566_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3567 (
- .a(_al_u2534_o),
- .b(P4cax6),
- .o(_al_u3567_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*(D@C)*~(E*B))"),
- .INIT(32'h01100550))
- _al_u3568 (
- .a(_al_u3567_o),
- .b(_al_u2538_o),
- .c(_al_u2581_o),
- .d(Htbax6),
- .e(Koabx6),
- .o(_al_u3568_o));
- AL_MAP_LUT4 #(
- .EQN("((C@B)*(D@A))"),
- .INIT(16'h1428))
- _al_u3569 (
- .a(_al_u2478_o),
- .b(_al_u2610_o),
- .c(Phcax6),
- .d(V0cax6),
- .o(_al_u3569_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u357 (
- .a(_al_u353_o),
- .b(_al_u354_o),
- .c(_al_u355_o),
- .d(_al_u356_o),
- .o(W40iu6));
- AL_MAP_LUT4 #(
- .EQN("((D@B)*(C@A))"),
- .INIT(16'h1248))
- _al_u3570 (
- .a(_al_u2514_o),
- .b(_al_u2518_o),
- .c(Cxcbx6),
- .d(Facax6),
- .o(_al_u3570_o));
- AL_MAP_LUT4 #(
- .EQN("((C@B)*(D@A))"),
- .INIT(16'h1428))
- _al_u3571 (
- .a(_al_u2526_o),
- .b(_al_u2587_o),
- .c(Hjgax6),
- .d(Rz8bx6),
- .o(_al_u3571_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3572 (
- .a(_al_u3568_o),
- .b(_al_u3569_o),
- .c(_al_u3570_o),
- .d(_al_u3571_o),
- .o(_al_u3572_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3573 (
- .a(_al_u3554_o),
- .b(_al_u3560_o),
- .c(_al_u3566_o),
- .d(_al_u3572_o),
- .o(_al_u3573_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3574 (
- .a(_al_u3550_o),
- .b(_al_u3573_o),
- .c(Dncax6),
- .d(Krbax6),
- .o(_al_u3574_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3575 (
- .a(_al_u2581_o),
- .b(Mgeax6),
- .o(_al_u3575_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*(E@C)*~(D*B))"),
- .INIT(32'h01051050))
- _al_u3576 (
- .a(_al_u3575_o),
- .b(_al_u2486_o),
- .c(_al_u2542_o),
- .d(Dmeax6),
- .e(Xpeax6),
- .o(_al_u3576_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3577 (
- .a(_al_u2498_o),
- .b(Daebx6),
- .o(_al_u3577_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*(E@C)*~(~D*~B))"),
- .INIT(32'h05045040))
- _al_u3578 (
- .a(_al_u3577_o),
- .b(_al_u2486_o),
- .c(_al_u2502_o),
- .d(Dmeax6),
- .e(Kpfbx6),
- .o(_al_u3578_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3579 (
- .a(_al_u2587_o),
- .b(Elgax6),
- .o(_al_u3579_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u358 (
- .a(W40iu6),
- .b(Xuzhu6),
- .o(Mifpw6[5]));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*C)*(E@B))"),
- .INIT(32'h01110444))
- _al_u3580 (
- .a(_al_u3579_o),
- .b(_al_u2534_o),
- .c(_al_u2581_o),
- .d(Mgeax6),
- .e(Ureax6),
- .o(_al_u3580_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*(D@A))"),
- .INIT(16'h152a))
- _al_u3581 (
- .a(_al_u2571_o),
- .b(_al_u2587_o),
- .c(Elgax6),
- .d(Jieax6),
- .o(_al_u3581_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3582 (
- .a(_al_u3576_o),
- .b(_al_u3578_o),
- .c(_al_u3580_o),
- .d(_al_u3581_o),
- .o(_al_u3582_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(~C*~A))"),
- .INIT(16'h32fa))
- _al_u3583 (
- .a(_al_u2456_o),
- .b(_al_u2514_o),
- .c(Rteax6),
- .d(Zycbx6),
- .o(_al_u3583_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(D*A))"),
- .INIT(16'h54fc))
- _al_u3584 (
- .a(_al_u2456_o),
- .b(_al_u2482_o),
- .c(Hpbbx6),
- .d(Rteax6),
- .o(_al_u3584_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(~D*~A))"),
- .INIT(16'h3f2a))
- _al_u3585 (
- .a(_al_u2518_o),
- .b(_al_u2620_o),
- .c(B9jbx6),
- .d(Kxeax6),
- .o(_al_u3585_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(~D*~A))"),
- .INIT(16'h3f2a))
- _al_u3586 (
- .a(_al_u2514_o),
- .b(_al_u2610_o),
- .c(U4fax6),
- .d(Zycbx6),
- .o(_al_u3586_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3587 (
- .a(_al_u3583_o),
- .b(_al_u3584_o),
- .c(_al_u3585_o),
- .d(_al_u3586_o),
- .o(_al_u3587_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3588 (
- .a(_al_u2498_o),
- .b(Daebx6),
- .o(_al_u3588_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*(D@C)*~(E*B))"),
- .INIT(32'h01100550))
- _al_u3589 (
- .a(_al_u3588_o),
- .b(_al_u2494_o),
- .c(_al_u2546_o),
- .d(Hi9bx6),
- .e(Wqdbx6),
- .o(_al_u3589_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u359 (
- .a(Panow6_lutinv),
- .b(U9now6_lutinv),
- .c(vis_r3_o[8]),
- .d(vis_r0_o[8]),
- .o(_al_u359_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3590 (
- .a(_al_u2494_o),
- .b(Wqdbx6),
- .o(_al_u3590_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*(D@C)*~(E*B))"),
- .INIT(32'h01100550))
- _al_u3591 (
- .a(_al_u3590_o),
- .b(_al_u2482_o),
- .c(_al_u2605_o),
- .d(C1fax6),
- .e(Hpbbx6),
- .o(_al_u3591_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3592 (
- .a(_al_u3582_o),
- .b(_al_u3587_o),
- .c(_al_u3589_o),
- .d(_al_u3591_o),
- .o(_al_u3592_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3593 (
- .a(_al_u2620_o),
- .b(B9jbx6),
- .o(_al_u3593_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*C)*(E@B))"),
- .INIT(32'h01110444))
- _al_u3594 (
- .a(_al_u3593_o),
- .b(_al_u2510_o),
- .c(_al_u2518_o),
- .d(Kxeax6),
- .e(Wfcbx6),
- .o(_al_u3594_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(E@B)*~(~D*~A))"),
- .INIT(32'h3020c080))
- _al_u3595 (
- .a(_al_u2610_o),
- .b(_al_u2615_o),
- .c(Q6fax6),
- .d(U4fax6),
- .e(Y2fax6),
- .o(_al_u3595_o));
- AL_MAP_LUT4 #(
- .EQN("((D@B)*(C@A))"),
- .INIT(16'h1248))
- _al_u3596 (
- .a(_al_u2490_o),
- .b(_al_u2538_o),
- .c(Gkeax6),
- .d(Hqabx6),
- .o(_al_u3596_o));
- AL_MAP_LUT4 #(
- .EQN("((C@B)*(D@A))"),
- .INIT(16'h1428))
- _al_u3597 (
- .a(_al_u2506_o),
- .b(_al_u2530_o),
- .c(Gzeax6),
- .d(Q1hbx6),
- .o(_al_u3597_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3598 (
- .a(_al_u3594_o),
- .b(_al_u3595_o),
- .c(_al_u3596_o),
- .d(_al_u3597_o),
- .o(_al_u3598_o));
- AL_MAP_LUT4 #(
- .EQN("((D@B)*(C@A))"),
- .INIT(16'h1248))
- _al_u3599 (
- .a(_al_u2478_o),
- .b(_al_u2526_o),
- .c(Aoeax6),
- .d(N19bx6),
- .o(_al_u3599_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u36 (
- .a(Iqzhu6_lutinv),
- .b(Bk7ax6),
- .o(Ud4iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u360 (
- .a(V6now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r2_o[8]),
- .d(vis_r4_o[8]),
- .o(_al_u360_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*(D@C))"),
- .INIT(16'h0880))
- _al_u3600 (
- .a(_al_u3598_o),
- .b(_al_u3599_o),
- .c(_al_u2522_o),
- .d(Oveax6),
- .o(_al_u3600_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*(D@C))"),
- .INIT(16'h0880))
- _al_u3601 (
- .a(_al_u3592_o),
- .b(_al_u3600_o),
- .c(_al_u2757_o),
- .d(Tceax6),
- .o(_al_u3601_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u3602 (
- .a(_al_u2494_o),
- .b(_al_u2502_o),
- .c(Hrfbx6),
- .d(Tsdbx6),
- .o(_al_u3602_o));
- AL_MAP_LUT3 #(
- .EQN("(A*(C@B))"),
- .INIT(8'h28))
- _al_u3603 (
- .a(_al_u3602_o),
- .b(_al_u2530_o),
- .c(N3eax6),
- .o(_al_u3603_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3604 (
- .a(_al_u2478_o),
- .b(_al_u2486_o),
- .c(Hsdax6),
- .d(Kqdax6),
- .o(_al_u3604_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*(D@C))"),
- .INIT(16'h0880))
- _al_u3605 (
- .a(_al_u3603_o),
- .b(_al_u3604_o),
- .c(_al_u2610_o),
- .d(B9eax6),
- .o(_al_u3605_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3606 (
- .a(_al_u2478_o),
- .b(Hsdax6),
- .o(_al_u3606_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(~E*~C)*(D@B))"),
- .INIT(32'h11441040))
- _al_u3607 (
- .a(_al_u3606_o),
- .b(_al_u2482_o),
- .c(_al_u2486_o),
- .d(Erbbx6),
- .e(Kqdax6),
- .o(_al_u3607_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(~C*~A))"),
- .INIT(16'h32fa))
- _al_u3608 (
- .a(_al_u2498_o),
- .b(_al_u2518_o),
- .c(Acebx6),
- .d(R1eax6),
- .o(_al_u3608_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~B)*~(C*A))"),
- .INIT(16'h5f4c))
- _al_u3609 (
- .a(_al_u2498_o),
- .b(_al_u2534_o),
- .c(Acebx6),
- .d(Bwdax6),
- .o(_al_u3609_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u361 (
- .a(N9now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[8]),
- .d(vis_r1_o[8]),
- .o(_al_u361_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3610 (
- .a(_al_u3605_o),
- .b(_al_u3607_o),
- .c(_al_u3608_o),
- .d(_al_u3609_o),
- .o(_al_u3610_o));
- AL_MAP_LUT4 #(
- .EQN("((C@B)*(D@A))"),
- .INIT(16'h1428))
- _al_u3611 (
- .a(_al_u2490_o),
- .b(_al_u2542_o),
- .c(Eudax6),
- .d(Nodax6),
- .o(_al_u3611_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(E@C)*(D@A))"),
- .INIT(32'h04084080))
- _al_u3612 (
- .a(_al_u2757_o),
- .b(_al_u3611_o),
- .c(_al_u2620_o),
- .d(Ahdax6),
- .e(Xajbx6),
- .o(_al_u3612_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*(D@A))"),
- .INIT(16'h152a))
- _al_u3613 (
- .a(_al_u2510_o),
- .b(_al_u2546_o),
- .c(Dk9bx6),
- .d(Thcbx6),
- .o(_al_u3613_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(D*A))"),
- .INIT(16'h54fc))
- _al_u3614 (
- .a(_al_u2538_o),
- .b(_al_u2546_o),
- .c(Dk9bx6),
- .d(Esabx6),
- .o(_al_u3614_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*(D@C))"),
- .INIT(16'h0880))
- _al_u3615 (
- .a(_al_u3613_o),
- .b(_al_u3614_o),
- .c(_al_u2571_o),
- .d(Qmdax6),
- .o(_al_u3615_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3616 (
- .a(_al_u2581_o),
- .b(Tkdax6),
- .o(_al_u3616_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*C)*(E@B))"),
- .INIT(32'h01110444))
- _al_u3617 (
- .a(_al_u3616_o),
- .b(_al_u2506_o),
- .c(_al_u2534_o),
- .d(Bwdax6),
- .e(N3hbx6),
- .o(_al_u3617_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(D*A))"),
- .INIT(16'h54fc))
- _al_u3618 (
- .a(_al_u2581_o),
- .b(_al_u2587_o),
- .c(Bngax6),
- .d(Tkdax6),
- .o(_al_u3618_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(~D*~A))"),
- .INIT(16'h3f2a))
- _al_u3619 (
- .a(_al_u2538_o),
- .b(_al_u2587_o),
- .c(Bngax6),
- .d(Esabx6),
- .o(_al_u3619_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u362 (
- .a(C7now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r6_o[8]),
- .d(vis_r5_o[8]),
- .o(_al_u362_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3620 (
- .a(_al_u3615_o),
- .b(_al_u3617_o),
- .c(_al_u3618_o),
- .d(_al_u3619_o),
- .o(_al_u3620_o));
- AL_MAP_LUT5 #(
- .EQN("(D*(C@B)*~(~E*~A))"),
- .INIT(32'h3c002800))
- _al_u3621 (
- .a(_al_u2456_o),
- .b(_al_u2514_o),
- .c(W0dbx6),
- .d(Xaeax6),
- .e(Yxdax6),
- .o(_al_u3621_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(D@C)*(E@B))"),
- .INIT(32'h02200880))
- _al_u3622 (
- .a(_al_u3621_o),
- .b(_al_u2522_o),
- .c(_al_u2605_o),
- .d(J5eax6),
- .e(Vzdax6),
- .o(_al_u3622_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3623 (
- .a(_al_u2456_o),
- .b(Yxdax6),
- .o(_al_u3623_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(~D*~C)*(E@B))"),
- .INIT(32'h11104440))
- _al_u3624 (
- .a(_al_u3623_o),
- .b(_al_u2526_o),
- .c(_al_u2615_o),
- .d(F7eax6),
- .e(J39bx6),
- .o(_al_u3624_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(~D*~A))"),
- .INIT(16'h3f2a))
- _al_u3625 (
- .a(_al_u2518_o),
- .b(_al_u2615_o),
- .c(F7eax6),
- .d(R1eax6),
- .o(_al_u3625_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(~D*~A))"),
- .INIT(16'hfca8))
- _al_u3626 (
- .a(_al_u2494_o),
- .b(_al_u2502_o),
- .c(Hrfbx6),
- .d(Tsdbx6),
- .o(_al_u3626_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3627 (
- .a(_al_u3622_o),
- .b(_al_u3624_o),
- .c(_al_u3625_o),
- .d(_al_u3626_o),
- .o(_al_u3627_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u3628 (
- .a(_al_u3610_o),
- .b(_al_u3612_o),
- .c(_al_u3620_o),
- .d(_al_u3627_o),
- .e(Widax6),
- .o(_al_u3628_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(D*B))"),
- .INIT(16'h020a))
- _al_u3629 (
- .a(_al_u3574_o),
- .b(_al_u3601_o),
- .c(_al_u3628_o),
- .d(Peeax6),
- .o(_al_u3629_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u363 (
- .a(_al_u359_o),
- .b(_al_u360_o),
- .c(_al_u361_o),
- .d(_al_u362_o),
- .o(B40iu6));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u3630 (
- .a(_al_u2354_o),
- .b(_al_u2356_o),
- .c(L18iu6),
- .d(Gr2qw6),
- .e(H4bax6),
- .o(_al_u3630_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*~A)"),
- .INIT(16'h4000))
- _al_u3631 (
- .a(_al_u3335_o),
- .b(_al_u3630_o),
- .c(_al_u2358_o),
- .d(HREADY),
- .o(_al_u3631_o));
- AL_MAP_LUT4 #(
- .EQN("~(~(D*~C)*~(B*~A))"),
- .INIT(16'h4f44))
- _al_u3632 (
- .a(_al_u3629_o),
- .b(_al_u3631_o),
- .c(HREADY),
- .d(Jvvpw6),
- .o(Dhvhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u3633 (
- .a(_al_u3550_o),
- .b(_al_u3573_o),
- .c(Bcgax6),
- .d(K6gax6),
- .o(_al_u3633_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u3634 (
- .a(_al_u3610_o),
- .b(_al_u3612_o),
- .c(_al_u3620_o),
- .d(_al_u3627_o),
- .e(Eagax6),
- .o(_al_u3634_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(D*B))"),
- .INIT(16'h020a))
- _al_u3635 (
- .a(_al_u3633_o),
- .b(_al_u3601_o),
- .c(_al_u3634_o),
- .d(H8gax6),
- .o(_al_u3635_o));
- AL_MAP_LUT4 #(
- .EQN("~(~(D*~C)*~(B*~A))"),
- .INIT(16'h4f44))
- _al_u3636 (
- .a(_al_u3635_o),
- .b(_al_u3631_o),
- .c(HREADY),
- .d(Pexpw6),
- .o(Khvhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
- .INIT(16'h5ff3))
- _al_u3637 (
- .a(_al_u156_o),
- .b(_al_u410_o),
- .c(Aujpw6),
- .d(T1vpw6),
- .o(Ejaju6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(E*~(B*A*~(D*C)))"),
- .INIT(32'hf7770000))
- _al_u3638 (
- .a(Xiaju6),
- .b(Ejaju6_lutinv),
- .c(N3ziu6),
- .d(Ae0iu6_lutinv),
- .e(Vgjpw6),
- .o(Mt4ju6));
- AL_MAP_LUT5 #(
- .EQN("(~A*(~(B)*~(C)*~(D)*~(E)+B*~(C)*~(D)*~(E)+~(B)*C*~(D)*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*C*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E))"),
- .INIT(32'h05150015))
- _al_u3639 (
- .a(Mt4ju6),
- .b(_al_u688_o),
- .c(_al_u1091_o),
- .d(_al_u1153_o),
- .e(Yvjpw6),
- .o(Wc5ju6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u364 (
- .a(B40iu6),
- .b(Xuzhu6),
- .o(Mifpw6[8]));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3640 (
- .a(Qyniu6_lutinv),
- .b(Nkaju6_lutinv),
- .c(Vgjpw6),
- .o(Ys4ju6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3641 (
- .a(Mt4ju6),
- .b(Ys4ju6),
- .o(Cg5ju6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3642 (
- .a(S2epw6),
- .b(Wc5ju6_lutinv),
- .c(Cg5ju6_lutinv),
- .o(Dq6ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~C*~B*~(~D*A))"),
- .INIT(16'h0301))
- _al_u3643 (
- .a(_al_u670_o),
- .b(_al_u1445_o),
- .c(_al_u1097_o),
- .d(Hirpw6),
- .o(_al_u3643_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~B*~(~A*~(C)*~(D)+~A*C*~(D)+~(~A)*C*D+~A*C*D)))"),
- .INIT(32'hfcdd0000))
- _al_u3644 (
- .a(_al_u3643_o),
- .b(Pt2ju6),
- .c(Difiu6),
- .d(T1vpw6),
- .e(Vgjpw6),
- .o(By4ju6));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u3645 (
- .a(Fq8iu6),
- .b(T1vpw6),
- .c(Vgjpw6),
- .o(_al_u3645_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3646 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[8]),
- .d(mult0_0_0_7),
- .o(_al_u3646_o));
- AL_MAP_LUT4 #(
- .EQN("(D*B*~(~C*~A))"),
- .INIT(16'hc800))
- _al_u3647 (
- .a(Owoiu6),
- .b(Yljiu6),
- .c(Hirpw6),
- .d(Vgjpw6),
- .o(_al_u3647_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3648 (
- .a(_al_u1194_o),
- .b(_al_u3646_o),
- .c(_al_u3647_o),
- .o(_al_u3648_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(~(E*B)*~(A)*~(D)+~(E*B)*A*~(D)+~(~(E*B))*A*D+~(E*B)*A*D))"),
- .INIT(32'ha030a0f0))
- _al_u3649 (
- .a(Dq6ju6_lutinv),
- .b(S2epw6),
- .c(_al_u3648_o),
- .d(_al_u935_o),
- .e(Ys4ju6),
- .o(_al_u3649_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u365 (
- .a(U9now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r5_o[7]),
- .d(vis_r0_o[7]),
- .o(_al_u365_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hd591f7b3))
- _al_u3650 (
- .a(J1epw6),
- .b(_al_u745_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .e(Ys4ju6),
- .o(_al_u3650_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3651 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[13]),
- .d(mult0_0_0_12),
- .o(_al_u3651_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*~B))"),
- .INIT(16'h80a0))
- _al_u3652 (
- .a(_al_u3650_o),
- .b(_al_u1266_o),
- .c(_al_u3651_o),
- .d(_al_u3647_o),
- .o(_al_u3652_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3653 (
- .a(Qbfpw6[23]),
- .b(Wc5ju6_lutinv),
- .c(Cg5ju6_lutinv),
- .o(Vf5ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3654 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[24]),
- .d(n159[5]),
- .o(_al_u3654_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3655 (
- .a(_al_u1343_o),
- .b(_al_u3654_o),
- .c(_al_u3647_o),
- .o(_al_u3655_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(~(E*B)*~(A)*~(D)+~(E*B)*A*~(D)+~(~(E*B))*A*D+~(E*B)*A*D))"),
- .INIT(32'ha030a0f0))
- _al_u3656 (
- .a(Vf5ju6_lutinv),
- .b(Qbfpw6[23]),
- .c(_al_u3655_o),
- .d(_al_u822_o),
- .e(Ys4ju6),
- .o(Evkiu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hd591f7b3))
- _al_u3657 (
- .a(Q1epw6),
- .b(_al_u752_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .e(Ys4ju6),
- .o(_al_u3657_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3658 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[14]),
- .d(mult0_0_0_13),
- .o(_al_u3658_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*~B))"),
- .INIT(16'h80a0))
- _al_u3659 (
- .a(_al_u3657_o),
- .b(_al_u1274_o),
- .c(_al_u3658_o),
- .d(_al_u3647_o),
- .o(_al_u3659_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u366 (
- .a(V6now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r2_o[7]),
- .d(vis_r4_o[7]),
- .o(_al_u366_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'hb391f7d5))
- _al_u3660 (
- .a(_al_u787_o),
- .b(N3epw6),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .e(Ys4ju6),
- .o(_al_u3660_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3661 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[19]),
- .d(n159[0]),
- .o(_al_u3661_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*~B))"),
- .INIT(16'h80a0))
- _al_u3662 (
- .a(_al_u3660_o),
- .b(_al_u1306_o),
- .c(_al_u3661_o),
- .d(_al_u3647_o),
- .o(X7miu6));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u3663 (
- .a(_al_u3649_o),
- .b(_al_u3652_o),
- .c(Evkiu6),
- .d(_al_u3659_o),
- .e(X7miu6),
- .o(_al_u3663_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
- .INIT(16'h084c))
- _al_u3664 (
- .a(I4epw6),
- .b(_al_u808_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .o(_al_u3664_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3665 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[22]),
- .d(n159[3]),
- .o(_al_u3665_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(D*~B))"),
- .INIT(16'h4050))
- _al_u3666 (
- .a(_al_u3664_o),
- .b(_al_u1328_o),
- .c(_al_u3665_o),
- .d(_al_u3647_o),
- .o(_al_u3666_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~C*B))"),
- .INIT(16'ha2aa))
- _al_u3667 (
- .a(_al_u3666_o),
- .b(I4epw6),
- .c(_al_u808_o),
- .d(Ys4ju6),
- .o(Azliu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hd591f7f3))
- _al_u3668 (
- .a(Qbfpw6[3]),
- .b(_al_u914_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .e(Ys4ju6),
- .o(Zv5ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3669 (
- .a(_al_u156_o),
- .b(D31ju6),
- .c(Vgjpw6),
- .d(Vzupw6),
- .o(T05ju6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u367 (
- .a(Panow6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r7_o[7]),
- .d(vis_r3_o[7]),
- .o(Gfoow6));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u3670 (
- .a(T05ju6),
- .b(Sqkax6),
- .c(Ubypw6),
- .o(Pk4ju6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(C*B*A))"),
- .INIT(16'h007f))
- _al_u3671 (
- .a(T8row6),
- .b(_al_u3645_o),
- .c(Ukbpw6_lutinv),
- .d(mult0_0_0_3),
- .o(_al_u3671_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(D*B)*~(E*A))"),
- .INIT(32'h105030f0))
- _al_u3672 (
- .a(By4ju6),
- .b(Pk4ju6),
- .c(_al_u3671_o),
- .d(vis_ipsr_o[3]),
- .e(Nxkbx6[4]),
- .o(_al_u3672_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*~B))"),
- .INIT(16'h80a0))
- _al_u3673 (
- .a(Zv5ju6_lutinv),
- .b(_al_u1168_o),
- .c(_al_u3672_o),
- .d(_al_u3647_o),
- .o(Dkkiu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3674 (
- .a(E2epw6),
- .b(Wc5ju6_lutinv),
- .c(Cg5ju6_lutinv),
- .o(Fk6ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3675 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[7]),
- .d(mult0_0_0_6),
- .o(_al_u3675_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3676 (
- .a(_al_u1187_o),
- .b(_al_u3675_o),
- .c(_al_u3647_o),
- .o(_al_u3676_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(~(E*B)*~(A)*~(D)+~(E*B)*A*~(D)+~(~(E*B))*A*D+~(E*B)*A*D))"),
- .INIT(32'ha030a0f0))
- _al_u3677 (
- .a(Fk6ju6_lutinv),
- .b(E2epw6),
- .c(_al_u3676_o),
- .d(_al_u878_o),
- .e(Ys4ju6),
- .o(_al_u3677_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3678 (
- .a(_al_u3663_o),
- .b(Azliu6),
- .c(Dkkiu6),
- .d(_al_u3677_o),
- .o(_al_u3678_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hd591f7b3))
- _al_u3679 (
- .a(Qbfpw6[5]),
- .b(_al_u921_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .e(Ys4ju6),
- .o(K56ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u368 (
- .a(N9now6_lutinv),
- .b(C7now6_lutinv),
- .c(vis_r1_o[7]),
- .d(vis_r6_o[7]),
- .o(_al_u368_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3680 (
- .a(Pk4ju6),
- .b(_al_u3645_o),
- .c(vis_ipsr_o[5]),
- .d(mult0_0_0_5),
- .o(I46ju6));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*~B))"),
- .INIT(16'h80a0))
- _al_u3681 (
- .a(K56ju6_lutinv),
- .b(_al_u1180_o),
- .c(I46ju6),
- .d(_al_u3647_o),
- .o(_al_u3681_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u3682 (
- .a(_al_u3681_o),
- .b(By4ju6),
- .c(Nxkbx6[6]),
- .o(Lokiu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hd591f7b3))
- _al_u3683 (
- .a(Qbfpw6[1]),
- .b(_al_u697_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .e(Ys4ju6),
- .o(Tc7ju6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3684 (
- .a(T05ju6),
- .b(Ubypw6),
- .o(_al_u3684_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(C*B*A))"),
- .INIT(16'h007f))
- _al_u3685 (
- .a(_al_u3684_o),
- .b(vis_control_o),
- .c(Rskax6),
- .d(mult0_0_0_1),
- .o(Wa7ju6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3686 (
- .a(Wa7ju6_lutinv),
- .b(By4ju6),
- .c(Pk4ju6),
- .d(vis_ipsr_o[1]),
- .e(Nxkbx6[2]),
- .o(_al_u3686_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*~B))"),
- .INIT(16'h80a0))
- _al_u3687 (
- .a(Tc7ju6_lutinv),
- .b(_al_u1200_o),
- .c(_al_u3686_o),
- .d(_al_u3647_o),
- .o(Bpliu6));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
- .INIT(16'h084c))
- _al_u3688 (
- .a(Qbfpw6[26]),
- .b(_al_u843_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .o(Q07ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3689 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[27]),
- .d(n159[8]),
- .o(_al_u3689_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u369 (
- .a(_al_u365_o),
- .b(_al_u366_o),
- .c(Gfoow6),
- .d(_al_u368_o),
- .o(I40iu6));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3690 (
- .a(_al_u1370_o),
- .b(_al_u3689_o),
- .c(_al_u3647_o),
- .o(_al_u3690_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~A*~(E*~D*B))"),
- .INIT(32'h50105050))
- _al_u3691 (
- .a(Q07ju6_lutinv),
- .b(Qbfpw6[26]),
- .c(_al_u3690_o),
- .d(_al_u843_o),
- .e(Ys4ju6),
- .o(_al_u3691_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hd591f7b3))
- _al_u3692 (
- .a(Qbfpw6[24]),
- .b(_al_u829_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .e(Ys4ju6),
- .o(Rx6ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3693 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[25]),
- .d(n159[6]),
- .o(Ww6ju6));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*~B))"),
- .INIT(16'h80a0))
- _al_u3694 (
- .a(Rx6ju6_lutinv),
- .b(_al_u1351_o),
- .c(Ww6ju6),
- .d(_al_u3647_o),
- .o(_al_u3694_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3695 (
- .a(Lokiu6),
- .b(Bpliu6),
- .c(_al_u3691_o),
- .d(_al_u3694_o),
- .o(_al_u3695_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3696 (
- .a(Z2epw6),
- .b(Wc5ju6_lutinv),
- .c(Cg5ju6_lutinv),
- .o(Ge9ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3697 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[17]),
- .d(mult0_0_0_16),
- .o(_al_u3697_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3698 (
- .a(_al_u1414_o),
- .b(_al_u3697_o),
- .c(_al_u3647_o),
- .o(_al_u3698_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(~(E*B)*~(A)*~(D)+~(E*B)*A*~(D)+~(~(E*B))*A*D+~(E*B)*A*D))"),
- .INIT(32'ha030a0f0))
- _al_u3699 (
- .a(Ge9ju6_lutinv),
- .b(Z2epw6),
- .c(_al_u3698_o),
- .d(_al_u773_o),
- .e(Ys4ju6),
- .o(Vdmiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u37 (
- .a(Iqzhu6_lutinv),
- .b(Ra2qw6),
- .o(T94iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u370 (
- .a(I40iu6),
- .b(Xuzhu6),
- .o(Mifpw6[7]));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3700 (
- .a(G3epw6),
- .b(Wc5ju6_lutinv),
- .c(Cg5ju6_lutinv),
- .o(Oi9ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3701 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[18]),
- .d(mult0_0_0_17),
- .o(_al_u3701_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3702 (
- .a(_al_u1297_o),
- .b(_al_u3701_o),
- .c(_al_u3647_o),
- .o(_al_u3702_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(~(E*B)*~(A)*~(D)+~(E*B)*A*~(D)+~(~(E*B))*A*D+~(E*B)*A*D))"),
- .INIT(32'ha030a0f0))
- _al_u3703 (
- .a(Oi9ju6_lutinv),
- .b(G3epw6),
- .c(_al_u3702_o),
- .d(_al_u780_o),
- .e(Ys4ju6),
- .o(Wamiu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3704 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[11]),
- .d(mult0_0_0_10),
- .o(_al_u3704_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3705 (
- .a(Ka8ju6),
- .b(_al_u3704_o),
- .c(_al_u3647_o),
- .o(_al_u3705_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*~C*A))"),
- .INIT(16'hc4cc))
- _al_u3706 (
- .a(Qbfpw6[10]),
- .b(_al_u3705_o),
- .c(_al_u942_o),
- .d(Ys4ju6),
- .o(_al_u3706_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
- .INIT(16'h084c))
- _al_u3707 (
- .a(Qbfpw6[10]),
- .b(_al_u942_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .o(I98ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u3708 (
- .a(Vdmiu6),
- .b(Wamiu6),
- .c(_al_u3706_o),
- .d(I98ju6_lutinv),
- .o(_al_u3708_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3709 (
- .a(C1epw6),
- .b(Wc5ju6_lutinv),
- .c(Cg5ju6_lutinv),
- .o(Ro8ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u371 (
- .a(C7now6_lutinv),
- .b(E8now6_lutinv),
- .c(vis_r6_o[10]),
- .d(vis_r4_o[10]),
- .o(_al_u371_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3710 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[12]),
- .d(mult0_0_0_11),
- .o(_al_u3710_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3711 (
- .a(_al_u1258_o),
- .b(_al_u3710_o),
- .c(_al_u3647_o),
- .o(_al_u3711_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(~(E*B)*~(A)*~(D)+~(E*B)*A*~(D)+~(~(E*B))*A*D+~(E*B)*A*D))"),
- .INIT(32'ha030a0f0))
- _al_u3712 (
- .a(Ro8ju6_lutinv),
- .b(C1epw6),
- .c(_al_u3711_o),
- .d(_al_u949_o),
- .e(Ys4ju6),
- .o(_al_u3712_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
- .INIT(16'h084c))
- _al_u3713 (
- .a(Qbfpw6[25]),
- .b(_al_u836_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .o(Yh8ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3714 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[26]),
- .d(n159[7]),
- .o(_al_u3714_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3715 (
- .a(_al_u1359_o),
- .b(_al_u3714_o),
- .c(_al_u3647_o),
- .o(_al_u3715_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~A*~(E*~D*B))"),
- .INIT(32'h50105050))
- _al_u3716 (
- .a(Yh8ju6_lutinv),
- .b(Qbfpw6[25]),
- .c(_al_u3715_o),
- .d(_al_u836_o),
- .e(Ys4ju6),
- .o(_al_u3716_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3717 (
- .a(W4epw6),
- .b(Wc5ju6_lutinv),
- .c(Cg5ju6_lutinv),
- .o(Js7ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3718 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[9]),
- .d(mult0_0_0_8),
- .o(_al_u3718_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3719 (
- .a(Cz7ju6),
- .b(_al_u3718_o),
- .c(_al_u3647_o),
- .o(_al_u3719_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u372 (
- .a(N9now6_lutinv),
- .b(V6now6_lutinv),
- .c(vis_r1_o[10]),
- .d(vis_r2_o[10]),
- .o(_al_u372_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(~(E*B)*~(A)*~(D)+~(E*B)*A*~(D)+~(~(E*B))*A*D+~(E*B)*A*D))"),
- .INIT(32'ha030a0f0))
- _al_u3720 (
- .a(Js7ju6_lutinv),
- .b(W4epw6),
- .c(_al_u3719_o),
- .d(_al_u928_o),
- .e(Ys4ju6),
- .o(_al_u3720_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3721 (
- .a(P4epw6),
- .b(Wc5ju6_lutinv),
- .c(Cg5ju6_lutinv),
- .o(Z5aju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3722 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[23]),
- .d(n159[4]),
- .o(_al_u3722_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3723 (
- .a(_al_u1337_o),
- .b(_al_u3722_o),
- .c(_al_u3647_o),
- .o(_al_u3723_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(~(E*B)*~(A)*~(D)+~(E*B)*A*~(D)+~(~(E*B))*A*D+~(E*B)*A*D))"),
- .INIT(32'ha030a0f0))
- _al_u3724 (
- .a(Z5aju6_lutinv),
- .b(P4epw6),
- .c(_al_u3723_o),
- .d(_al_u815_o),
- .e(Ys4ju6),
- .o(Uvliu6));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u3725 (
- .a(_al_u3708_o),
- .b(_al_u3712_o),
- .c(_al_u3716_o),
- .d(_al_u3720_o),
- .e(Uvliu6),
- .o(_al_u3725_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hd591f7b3))
- _al_u3726 (
- .a(Qbfpw6[28]),
- .b(_al_u857_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .e(Ys4ju6),
- .o(Lm7ju6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u3727 (
- .a(T05ju6),
- .b(Rskax6),
- .c(Ubypw6),
- .o(I55ju6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3728 (
- .a(I55ju6),
- .b(_al_u3645_o),
- .c(vis_apsr_o[0]),
- .d(n159[10]),
- .o(Ok7ju6));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*~B))"),
- .INIT(16'h80a0))
- _al_u3729 (
- .a(Lm7ju6_lutinv),
- .b(_al_u1384_o),
- .c(Ok7ju6),
- .d(_al_u3647_o),
- .o(_al_u3729_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u373 (
- .a(Panow6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r3_o[10]),
- .d(vis_r5_o[10]),
- .o(_al_u373_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u3730 (
- .a(_al_u3729_o),
- .b(By4ju6),
- .c(Nxkbx6[29]),
- .o(Kgoiu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hd591f7b3))
- _al_u3731 (
- .a(Qbfpw6[4]),
- .b(_al_u899_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .e(Ys4ju6),
- .o(Kl4ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3732 (
- .a(Pk4ju6),
- .b(_al_u3645_o),
- .c(vis_ipsr_o[4]),
- .d(mult0_0_0_4),
- .o(Uj4ju6));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3733 (
- .a(_al_u1174_o),
- .b(Uj4ju6),
- .c(_al_u3647_o),
- .o(_al_u3733_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3734 (
- .a(Kl4ju6_lutinv),
- .b(_al_u3733_o),
- .c(By4ju6),
- .d(Nxkbx6[5]),
- .o(Kkkiu6));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u3735 (
- .a(_al_u3678_o),
- .b(_al_u3695_o),
- .c(_al_u3725_o),
- .d(Kgoiu6),
- .e(Kkkiu6),
- .o(_al_u3735_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hd591f7b3))
- _al_u3736 (
- .a(Qbfpw6[29]),
- .b(_al_u864_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .e(Ys4ju6),
- .o(L87ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3737 (
- .a(I55ju6),
- .b(_al_u3645_o),
- .c(vis_apsr_o[1]),
- .d(n159[11]),
- .o(J77ju6));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*~B))"),
- .INIT(16'h80a0))
- _al_u3738 (
- .a(L87ju6_lutinv),
- .b(_al_u1406_o),
- .c(J77ju6),
- .d(_al_u3647_o),
- .o(_al_u3738_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u3739 (
- .a(_al_u3738_o),
- .b(By4ju6),
- .c(Nxkbx6[30]),
- .o(_al_u3739_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u374 (
- .a(U9now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r0_o[10]),
- .d(vis_r7_o[10]),
- .o(_al_u374_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3740 (
- .a(Qbfpw6[27]),
- .b(Wc5ju6_lutinv),
- .c(Cg5ju6_lutinv),
- .o(Y47ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3741 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[28]),
- .d(n159[9]),
- .o(_al_u3741_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3742 (
- .a(_al_u1376_o),
- .b(_al_u3741_o),
- .c(_al_u3647_o),
- .o(_al_u3742_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(~(E*B)*~(A)*~(D)+~(E*B)*A*~(D)+~(~(E*B))*A*D+~(E*B)*A*D))"),
- .INIT(32'ha030a0f0))
- _al_u3743 (
- .a(Y47ju6_lutinv),
- .b(Qbfpw6[27]),
- .c(_al_u3742_o),
- .d(_al_u850_o),
- .e(Ys4ju6),
- .o(_al_u3743_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3744 (
- .a(Qbfpw6[30]),
- .b(Wc5ju6_lutinv),
- .c(Cg5ju6_lutinv),
- .o(_al_u3744_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3745 (
- .a(I55ju6),
- .b(_al_u3645_o),
- .c(vis_apsr_o[2]),
- .d(n159[12]),
- .o(_al_u3745_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(E*C)*~(D*~A))"),
- .INIT(32'h080c88cc))
- _al_u3746 (
- .a(_al_u1392_o),
- .b(_al_u3745_o),
- .c(By4ju6),
- .d(_al_u3647_o),
- .e(Nxkbx6[31]),
- .o(_al_u3746_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(~(E*B)*~(A)*~(D)+~(E*B)*A*~(D)+~(~(E*B))*A*D+~(E*B)*A*D))"),
- .INIT(32'ha030a0f0))
- _al_u3747 (
- .a(_al_u3744_o),
- .b(Qbfpw6[30]),
- .c(_al_u3746_o),
- .d(_al_u871_o),
- .e(Ys4ju6),
- .o(K5liu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hd591f7b3))
- _al_u3748 (
- .a(D5epw6),
- .b(To2ju6_lutinv),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .e(Ys4ju6),
- .o(W55ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3749 (
- .a(I55ju6),
- .b(_al_u3645_o),
- .c(vis_apsr_o[3]),
- .d(n159[13]),
- .o(N45ju6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u375 (
- .a(_al_u371_o),
- .b(_al_u372_o),
- .c(_al_u373_o),
- .d(_al_u374_o),
- .o(Wb0iu6));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3750 (
- .a(_al_u1398_o),
- .b(N45ju6),
- .c(_al_u3647_o),
- .o(_al_u3750_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u3751 (
- .a(W55ju6_lutinv),
- .b(_al_u3750_o),
- .c(By4ju6),
- .d(Nxkbx6[32]),
- .o(Bbliu6));
- AL_MAP_LUT5 #(
- .EQN("(~E*D*C*B*A)"),
- .INIT(32'h00008000))
- _al_u3752 (
- .a(_al_u3739_o),
- .b(_al_u3743_o),
- .c(K5liu6),
- .d(Bbliu6),
- .e(Fpnpw6),
- .o(_al_u3752_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hd591f7b3))
- _al_u3753 (
- .a(Qbfpw6[2]),
- .b(Gh0iu6_lutinv),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .e(Ys4ju6),
- .o(Am5ju6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*A))"),
- .INIT(8'h07))
- _al_u3754 (
- .a(_al_u3645_o),
- .b(vis_control_o),
- .c(mult0_0_0_2),
- .o(_al_u3754_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3755 (
- .a(_al_u141_o),
- .b(F26bx6),
- .c(R3vpw6),
- .d(Vgjpw6),
- .o(Rk5ju6));
- AL_MAP_LUT4 #(
- .EQN("(~C*B*~(D*A))"),
- .INIT(16'h040c))
- _al_u3756 (
- .a(Pk4ju6),
- .b(_al_u3754_o),
- .c(Rk5ju6),
- .d(vis_ipsr_o[2]),
- .o(_al_u3756_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u3757 (
- .a(_al_u3756_o),
- .b(By4ju6),
- .c(Nxkbx6[3]),
- .o(_al_u3757_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*~B))"),
- .INIT(16'h80a0))
- _al_u3758 (
- .a(Am5ju6_lutinv),
- .b(_al_u1161_o),
- .c(_al_u3757_o),
- .d(_al_u3647_o),
- .o(Cgkiu6));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
- .INIT(16'h084c))
- _al_u3759 (
- .a(Q5phu6),
- .b(_al_u885_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .o(Z08ju6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u376 (
- .a(Wb0iu6),
- .b(Xuzhu6),
- .o(Mifpw6[10]));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3760 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[10]),
- .d(mult0_0_0_9),
- .o(_al_u3760_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(D*~B))"),
- .INIT(16'h4050))
- _al_u3761 (
- .a(Z08ju6_lutinv),
- .b(I28ju6),
- .c(_al_u3760_o),
- .d(_al_u3647_o),
- .o(_al_u3761_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~C*B))"),
- .INIT(16'ha2aa))
- _al_u3762 (
- .a(_al_u3761_o),
- .b(Q5phu6),
- .c(_al_u885_o),
- .d(Ys4ju6),
- .o(_al_u3762_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3763 (
- .a(_al_u3752_o),
- .b(Cgkiu6),
- .c(_al_u3762_o),
- .o(_al_u3763_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
- .INIT(16'h084c))
- _al_u3764 (
- .a(B4epw6),
- .b(_al_u801_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .o(_al_u3764_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3765 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[21]),
- .d(n159[2]),
- .o(_al_u3765_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~A*~(D*~B))"),
- .INIT(16'h4050))
- _al_u3766 (
- .a(_al_u3764_o),
- .b(_al_u1321_o),
- .c(_al_u3765_o),
- .d(_al_u3647_o),
- .o(_al_u3766_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~C*B))"),
- .INIT(16'ha2aa))
- _al_u3767 (
- .a(_al_u3766_o),
- .b(B4epw6),
- .c(_al_u801_o),
- .d(Ys4ju6),
- .o(Z1miu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3768 (
- .a(X1epw6),
- .b(Wc5ju6_lutinv),
- .c(Cg5ju6_lutinv),
- .o(C59ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3769 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[15]),
- .d(mult0_0_0_14),
- .o(_al_u3769_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u377 (
- .a(E8now6_lutinv),
- .b(Wanow6_lutinv),
- .c(vis_r4_o[11]),
- .d(vis_r7_o[11]),
- .o(_al_u377_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3770 (
- .a(_al_u1281_o),
- .b(_al_u3769_o),
- .c(_al_u3647_o),
- .o(_al_u3770_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(~(E*B)*~(A)*~(D)+~(E*B)*A*~(D)+~(~(E*B))*A*D+~(E*B)*A*D))"),
- .INIT(32'ha030a0f0))
- _al_u3771 (
- .a(C59ju6_lutinv),
- .b(X1epw6),
- .c(_al_u3770_o),
- .d(_al_u759_o),
- .e(Ys4ju6),
- .o(_al_u3771_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3772 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[16]),
- .d(mult0_0_0_15),
- .o(_al_u3772_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3773 (
- .a(_al_u1289_o),
- .b(_al_u3772_o),
- .c(_al_u3647_o),
- .o(_al_u3773_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*~C*A))"),
- .INIT(16'hc4cc))
- _al_u3774 (
- .a(L2epw6),
- .b(_al_u3773_o),
- .c(_al_u766_o),
- .d(Ys4ju6),
- .o(_al_u3774_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
- .INIT(16'h084c))
- _al_u3775 (
- .a(L2epw6),
- .b(_al_u766_o),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .o(_al_u3775_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u3776 (
- .a(Z1miu6),
- .b(_al_u3771_o),
- .c(_al_u3774_o),
- .d(_al_u3775_o),
- .o(_al_u3776_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3777 (
- .a(U3epw6),
- .b(Wc5ju6_lutinv),
- .c(Cg5ju6_lutinv),
- .o(Er9ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C*A))"),
- .INIT(16'h0013))
- _al_u3778 (
- .a(By4ju6),
- .b(_al_u3645_o),
- .c(Nxkbx6[20]),
- .d(n159[1]),
- .o(_al_u3778_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u3779 (
- .a(_al_u1314_o),
- .b(_al_u3778_o),
- .c(_al_u3647_o),
- .o(_al_u3779_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u378 (
- .a(U9now6_lutinv),
- .b(X7now6_lutinv),
- .c(vis_r0_o[11]),
- .d(vis_r5_o[11]),
- .o(_al_u378_o));
- AL_MAP_LUT5 #(
- .EQN("(C*(~(E*B)*~(A)*~(D)+~(E*B)*A*~(D)+~(~(E*B))*A*D+~(E*B)*A*D))"),
- .INIT(32'ha030a0f0))
- _al_u3780 (
- .a(Er9ju6_lutinv),
- .b(U3epw6),
- .c(_al_u3779_o),
- .d(_al_u794_o),
- .e(Ys4ju6),
- .o(Y4miu6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hd591f7b3))
- _al_u3781 (
- .a(Qbfpw6[0]),
- .b(Go0iu6_lutinv),
- .c(Wc5ju6_lutinv),
- .d(Cg5ju6_lutinv),
- .e(Ys4ju6),
- .o(H15ju6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(~E*~B*~(D*~C*A))"),
- .INIT(32'h00003133))
- _al_u3782 (
- .a(_al_u3684_o),
- .b(_al_u3645_o),
- .c(Rskax6),
- .d(vis_primask_o),
- .e(mult0_0_0_0),
- .o(_al_u3782_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u3783 (
- .a(_al_u3782_o),
- .b(By4ju6),
- .c(Pk4ju6),
- .d(vis_ipsr_o[0]),
- .e(Nxkbx6[1]),
- .o(_al_u3783_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(D*~B))"),
- .INIT(16'h80a0))
- _al_u3784 (
- .a(H15ju6_lutinv),
- .b(_al_u1135_o),
- .c(_al_u3783_o),
- .d(_al_u3647_o),
- .o(Ibliu6));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u3785 (
- .a(_al_u3735_o),
- .b(_al_u3763_o),
- .c(_al_u3776_o),
- .d(Y4miu6),
- .e(Ibliu6),
- .o(_al_u3785_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u3786 (
- .a(N30iu6),
- .b(_al_u410_o),
- .c(_al_u1095_o),
- .d(Sqkax6),
- .o(_al_u3786_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u3787 (
- .a(O00iu6),
- .b(_al_u410_o),
- .c(_al_u1095_o),
- .d(R9mpw6),
- .o(Y1qow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u3788 (
- .a(Pxzhu6),
- .b(_al_u410_o),
- .c(_al_u1095_o),
- .d(Rskax6),
- .o(_al_u3788_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3789 (
- .a(_al_u3786_o),
- .b(Y1qow6),
- .c(_al_u3788_o),
- .o(Kupow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u379 (
- .a(C7now6_lutinv),
- .b(V6now6_lutinv),
- .c(vis_r2_o[11]),
- .d(vis_r6_o[11]),
- .o(A6now6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u3790 (
- .a(Uwzhu6),
- .b(_al_u410_o),
- .c(_al_u1095_o),
- .d(U1kpw6),
- .o(_al_u3790_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u3791 (
- .a(Kupow6_lutinv),
- .b(_al_u3790_o),
- .c(Hirpw6),
- .o(_al_u3791_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*~A))"),
- .INIT(16'h0bbb))
- _al_u3792 (
- .a(Nwzhu6),
- .b(_al_u410_o),
- .c(_al_u1095_o),
- .d(Ubypw6),
- .o(_al_u3792_o));
- AL_MAP_LUT2 #(
- .EQN("~(B@A)"),
- .INIT(4'h9))
- _al_u3793 (
- .a(_al_u3791_o),
- .b(_al_u3792_o),
- .o(_al_u3793_o));
- AL_MAP_LUT3 #(
- .EQN("~(B@(C*~A))"),
- .INIT(8'h63))
- _al_u3794 (
- .a(Kupow6_lutinv),
- .b(_al_u3790_o),
- .c(Hirpw6),
- .o(F93ju6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u3795 (
- .a(Zvzhu6),
- .b(Svzhu6),
- .c(_al_u410_o),
- .o(_al_u3795_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u3796 (
- .a(R9mpw6),
- .b(Rskax6),
- .c(Sqkax6),
- .d(Ubypw6),
- .o(_al_u3796_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u3797 (
- .a(_al_u3796_o),
- .b(_al_u1095_o),
- .c(Hirpw6),
- .d(U1kpw6),
- .o(_al_u3797_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~A*~(D*~B))"),
- .INIT(16'h0405))
- _al_u3798 (
- .a(_al_u3795_o),
- .b(Gwzhu6),
- .c(_al_u3797_o),
- .d(_al_u410_o),
- .o(_al_u3798_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u3799 (
- .a(_al_u3798_o),
- .b(Ufopw6),
- .o(_al_u3799_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u38 (
- .a(Iqzhu6_lutinv),
- .b(Yzqpw6),
- .o(Lm1iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u380 (
- .a(N9now6_lutinv),
- .b(Panow6_lutinv),
- .c(vis_r1_o[11]),
- .d(vis_r3_o[11]),
- .o(_al_u380_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u3800 (
- .a(_al_u3793_o),
- .b(F93ju6_lutinv),
- .c(_al_u3799_o),
- .o(_al_u3800_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3801 (
- .a(_al_u148_o),
- .b(Hirpw6),
- .o(Df3ju6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*~A)"),
- .INIT(16'h0004))
- _al_u3802 (
- .a(_al_u3793_o),
- .b(F93ju6_lutinv),
- .c(_al_u3799_o),
- .d(Df3ju6),
- .o(_al_u3802_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(C@A))"),
- .INIT(16'h0021))
- _al_u3803 (
- .a(_al_u3791_o),
- .b(_al_u3799_o),
- .c(_al_u3792_o),
- .d(Df3ju6),
- .o(_al_u3803_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3804 (
- .a(Kupow6_lutinv),
- .b(_al_u3792_o),
- .c(_al_u3790_o),
- .o(N7pow6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u3805 (
- .a(N7pow6),
- .b(Hirpw6),
- .o(R3how6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~C*~B*~(~D*A))"),
- .INIT(16'h0301))
- _al_u3806 (
- .a(_al_u3800_o),
- .b(_al_u3802_o),
- .c(_al_u3803_o),
- .d(R3how6_lutinv),
- .o(_al_u3806_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*(C@B))"),
- .INIT(8'h14))
- _al_u3807 (
- .a(_al_u3786_o),
- .b(Y1qow6),
- .c(Hirpw6),
- .o(_al_u3807_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(D)*~(A)+~(~C*~B)*D*~(A)+~(~(~C*~B))*D*A+~(~C*~B)*D*A)"),
- .INIT(16'hfe54))
- _al_u3808 (
- .a(_al_u3807_o),
- .b(_al_u3786_o),
- .c(Ib0iu6),
- .d(Wb0iu6),
- .o(Hvjow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3809 (
- .a(Y1qow6),
- .b(U30iu6),
- .o(_al_u3809_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u381 (
- .a(_al_u377_o),
- .b(_al_u378_o),
- .c(A6now6),
- .d(_al_u380_o),
- .o(Pb0iu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u3810 (
- .a(Y1qow6),
- .b(Pb0iu6),
- .o(_al_u3810_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~C*~B))"),
- .INIT(16'ha8aa))
- _al_u3811 (
- .a(Hvjow6),
- .b(_al_u3809_o),
- .c(_al_u3810_o),
- .d(_al_u3786_o),
- .o(_al_u3811_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u3812 (
- .a(Y1qow6),
- .b(Ib0iu6),
- .c(Wb0iu6),
- .o(_al_u3812_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hcfca4540))
- _al_u3813 (
- .a(_al_u3807_o),
- .b(_al_u3812_o),
- .c(_al_u3786_o),
- .d(Bb0iu6),
- .e(Pb0iu6),
- .o(_al_u3813_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3814 (
- .a(_al_u3811_o),
- .b(_al_u3813_o),
- .o(Ov3ju6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3815 (
- .a(Y1qow6),
- .b(E90iu6),
- .c(K50iu6),
- .o(_al_u3815_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f3a1510))
- _al_u3816 (
- .a(_al_u3807_o),
- .b(_al_u3815_o),
- .c(_al_u3786_o),
- .d(D50iu6),
- .e(F60iu6),
- .o(_al_u3816_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u3817 (
- .a(Y1qow6),
- .b(D50iu6),
- .c(F60iu6),
- .o(_al_u3817_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hcf45ca40))
- _al_u3818 (
- .a(_al_u3807_o),
- .b(_al_u3817_o),
- .c(_al_u3786_o),
- .d(K50iu6),
- .e(W40iu6),
- .o(_al_u3818_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3819 (
- .a(Y1qow6),
- .b(K50iu6),
- .c(W40iu6),
- .o(_al_u3819_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u382 (
- .a(Pb0iu6),
- .b(Xuzhu6),
- .o(Mifpw6[11]));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f3a1510))
- _al_u3820 (
- .a(_al_u3807_o),
- .b(_al_u3819_o),
- .c(_al_u3786_o),
- .d(P40iu6),
- .e(D50iu6),
- .o(_al_u3820_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u3821 (
- .a(Y1qow6),
- .b(P40iu6),
- .c(D50iu6),
- .o(_al_u3821_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hcf45ca40))
- _al_u3822 (
- .a(_al_u3807_o),
- .b(_al_u3821_o),
- .c(_al_u3786_o),
- .d(W40iu6),
- .e(I40iu6),
- .o(_al_u3822_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3823 (
- .a(_al_u3816_o),
- .b(_al_u3818_o),
- .c(_al_u3820_o),
- .d(_al_u3822_o),
- .o(Tu3ju6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3824 (
- .a(_al_u3786_o),
- .b(Y1qow6),
- .o(_al_u3824_o));
- AL_MAP_LUT3 #(
- .EQN("~(B@(C*~A))"),
- .INIT(8'h63))
- _al_u3825 (
- .a(_al_u3824_o),
- .b(_al_u3788_o),
- .c(Hirpw6),
- .o(Hv3ju6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3826 (
- .a(Y1qow6),
- .b(B40iu6),
- .c(Wb0iu6),
- .o(_al_u3826_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3827 (
- .a(_al_u3807_o),
- .b(_al_u3826_o),
- .c(_al_u3786_o),
- .d(U30iu6),
- .e(Pb0iu6),
- .o(_al_u3827_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u3828 (
- .a(Y1qow6),
- .b(U30iu6),
- .c(I40iu6),
- .o(_al_u3828_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hcf45ca40))
- _al_u3829 (
- .a(_al_u3807_o),
- .b(_al_u3828_o),
- .c(_al_u3786_o),
- .d(B40iu6),
- .e(Wb0iu6),
- .o(_al_u3829_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u383 (
- .a(P14qw6),
- .b(Ydopw6),
- .o(Nbkiu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("~(B*~((E*D*A))*~(C)+B*(E*D*A)*~(C)+~(B)*(E*D*A)*C+B*(E*D*A)*C)"),
- .INIT(32'h53f3f3f3))
- _al_u3830 (
- .a(Ov3ju6_lutinv),
- .b(Tu3ju6),
- .c(Hv3ju6_lutinv),
- .d(_al_u3827_o),
- .e(_al_u3829_o),
- .o(_al_u3830_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u3831 (
- .a(Y1qow6),
- .b(Bb0iu6),
- .c(Pb0iu6),
- .o(_al_u3831_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hcf45ca40))
- _al_u3832 (
- .a(_al_u3807_o),
- .b(_al_u3831_o),
- .c(_al_u3786_o),
- .d(Ib0iu6),
- .e(Ua0iu6),
- .o(_al_u3832_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3833 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3832_o),
- .c(_al_u3829_o),
- .o(_al_u3833_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3834 (
- .a(Y1qow6),
- .b(W40iu6),
- .c(I40iu6),
- .o(_al_u3834_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3835 (
- .a(_al_u3807_o),
- .b(_al_u3834_o),
- .c(_al_u3786_o),
- .d(P40iu6),
- .e(B40iu6),
- .o(Mu3ju6));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3836 (
- .a(Y1qow6),
- .b(P40iu6),
- .c(B40iu6),
- .o(_al_u3836_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f3a1510))
- _al_u3837 (
- .a(_al_u3807_o),
- .b(_al_u3836_o),
- .c(_al_u3786_o),
- .d(U30iu6),
- .e(I40iu6),
- .o(Yt3ju6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3838 (
- .a(_al_u3833_o),
- .b(Mu3ju6),
- .c(Yt3ju6),
- .o(_al_u3838_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3839 (
- .a(Y1qow6),
- .b(Dc0iu6),
- .c(F60iu6),
- .o(_al_u3839_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u384 (
- .a(P0kax6),
- .b(Skjax6),
- .o(L88iu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3840 (
- .a(_al_u3807_o),
- .b(_al_u3839_o),
- .c(_al_u3786_o),
- .d(E90iu6),
- .e(K50iu6),
- .o(_al_u3840_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u3841 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3840_o),
- .c(_al_u3822_o),
- .o(_al_u3841_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*~B))"),
- .INIT(16'h4555))
- _al_u3842 (
- .a(_al_u3806_o),
- .b(_al_u3830_o),
- .c(_al_u3838_o),
- .d(_al_u3841_o),
- .o(_al_u3842_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u3843 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3832_o),
- .o(_al_u3843_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3844 (
- .a(Y1qow6),
- .b(Ib0iu6),
- .c(Ua0iu6),
- .o(_al_u3844_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3845 (
- .a(_al_u3807_o),
- .b(_al_u3844_o),
- .c(_al_u3786_o),
- .d(Bb0iu6),
- .e(Na0iu6),
- .o(_al_u3845_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3846 (
- .a(Ov3ju6_lutinv),
- .b(_al_u3843_o),
- .c(_al_u3845_o),
- .o(_al_u3846_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3847 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3845_o),
- .c(_al_u3827_o),
- .o(_al_u3847_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3848 (
- .a(Y1qow6),
- .b(Na0iu6),
- .c(Z90iu6),
- .o(_al_u3848_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3849 (
- .a(_al_u3807_o),
- .b(_al_u3848_o),
- .c(_al_u3786_o),
- .d(Ga0iu6),
- .e(S90iu6),
- .o(_al_u3849_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u385 (
- .a(_al_u156_o),
- .b(Nbkiu6_lutinv),
- .c(L88iu6_lutinv),
- .d(Oikax6),
- .o(_al_u385_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3850 (
- .a(Y1qow6),
- .b(L90iu6),
- .c(Q80iu6),
- .o(_al_u3850_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3851 (
- .a(_al_u3807_o),
- .b(_al_u3850_o),
- .c(_al_u3786_o),
- .d(X80iu6),
- .e(J80iu6),
- .o(_al_u3851_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u3852 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3849_o),
- .c(_al_u3851_o),
- .o(We3ju6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3853 (
- .a(Y1qow6),
- .b(Ua0iu6),
- .c(Ga0iu6),
- .o(_al_u3853_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3854 (
- .a(_al_u3807_o),
- .b(_al_u3853_o),
- .c(_al_u3786_o),
- .d(Na0iu6),
- .e(Z90iu6),
- .o(Id4ju6));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3855 (
- .a(Y1qow6),
- .b(Bb0iu6),
- .c(Na0iu6),
- .o(_al_u3855_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3856 (
- .a(_al_u3807_o),
- .b(_al_u3855_o),
- .c(_al_u3786_o),
- .d(Ua0iu6),
- .e(Ga0iu6),
- .o(Uc4ju6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3857 (
- .a(_al_u3847_o),
- .b(We3ju6_lutinv),
- .c(Id4ju6),
- .d(Uc4ju6),
- .o(_al_u3857_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3858 (
- .a(Y1qow6),
- .b(S90iu6),
- .c(X80iu6),
- .o(_al_u3858_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3859 (
- .a(_al_u3807_o),
- .b(_al_u3858_o),
- .c(_al_u3786_o),
- .d(L90iu6),
- .e(Q80iu6),
- .o(_al_u3859_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u386 (
- .a(P14qw6),
- .b(Ydopw6),
- .o(_al_u386_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3860 (
- .a(Y1qow6),
- .b(Z90iu6),
- .c(L90iu6),
- .o(_al_u3860_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3861 (
- .a(_al_u3807_o),
- .b(_al_u3860_o),
- .c(_al_u3786_o),
- .d(S90iu6),
- .e(X80iu6),
- .o(_al_u3861_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3862 (
- .a(_al_u3859_o),
- .b(_al_u3861_o),
- .o(Q34ju6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3863 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3849_o),
- .o(_al_u3863_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3864 (
- .a(Y1qow6),
- .b(Ga0iu6),
- .c(S90iu6),
- .o(_al_u3864_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3865 (
- .a(_al_u3807_o),
- .b(_al_u3864_o),
- .c(_al_u3786_o),
- .d(Z90iu6),
- .e(L90iu6),
- .o(_al_u3865_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~A*~(E*D*C)))"),
- .INIT(32'hc8888888))
- _al_u3866 (
- .a(_al_u3846_o),
- .b(_al_u3857_o),
- .c(Q34ju6_lutinv),
- .d(_al_u3863_o),
- .e(_al_u3865_o),
- .o(_al_u3866_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3867 (
- .a(Y1qow6),
- .b(O70iu6),
- .c(A70iu6),
- .o(_al_u3867_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3868 (
- .a(_al_u3807_o),
- .b(_al_u3867_o),
- .c(_al_u3786_o),
- .d(H70iu6),
- .e(_al_u303_o),
- .o(_al_u3868_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3869 (
- .a(Y1qow6),
- .b(V70iu6),
- .c(H70iu6),
- .o(_al_u3869_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u387 (
- .a(_al_u386_o),
- .b(Ssjax6),
- .o(A95iu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3870 (
- .a(_al_u3807_o),
- .b(_al_u3869_o),
- .c(_al_u3786_o),
- .d(O70iu6),
- .e(A70iu6),
- .o(_al_u3870_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3871 (
- .a(Y1qow6),
- .b(H70iu6),
- .c(_al_u303_o),
- .o(_al_u3871_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3872 (
- .a(_al_u3807_o),
- .b(_al_u3871_o),
- .c(_al_u3786_o),
- .d(A70iu6),
- .e(M60iu6),
- .o(_al_u3872_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3873 (
- .a(Y1qow6),
- .b(C80iu6),
- .c(O70iu6),
- .o(_al_u3873_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3874 (
- .a(_al_u3807_o),
- .b(_al_u3873_o),
- .c(_al_u3786_o),
- .d(V70iu6),
- .e(H70iu6),
- .o(C34ju6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3875 (
- .a(_al_u3868_o),
- .b(_al_u3870_o),
- .c(_al_u3872_o),
- .d(C34ju6),
- .o(T14ju6));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3876 (
- .a(Y1qow6),
- .b(X80iu6),
- .c(J80iu6),
- .o(_al_u3876_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3877 (
- .a(_al_u3807_o),
- .b(_al_u3876_o),
- .c(_al_u3786_o),
- .d(Q80iu6),
- .e(C80iu6),
- .o(_al_u3877_o));
- AL_MAP_LUT5 #(
- .EQN("~((E*D*B)*~(A)*~(C)+(E*D*B)*A*~(C)+~((E*D*B))*A*C+(E*D*B)*A*C)"),
- .INIT(32'h535f5f5f))
- _al_u3878 (
- .a(T14ju6),
- .b(Q34ju6_lutinv),
- .c(Hv3ju6_lutinv),
- .d(_al_u3851_o),
- .e(_al_u3877_o),
- .o(_al_u3878_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3879 (
- .a(Y1qow6),
- .b(A70iu6),
- .c(M60iu6),
- .o(_al_u3879_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u388 (
- .a(Ufopw6),
- .b(Ydopw6),
- .o(_al_u388_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3880 (
- .a(_al_u3807_o),
- .b(_al_u3879_o),
- .c(_al_u3786_o),
- .d(_al_u303_o),
- .e(Y50iu6),
- .o(Csnow6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3881 (
- .a(Hv3ju6_lutinv),
- .b(Csnow6),
- .c(C34ju6),
- .o(Ha3ju6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u3882 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3865_o),
- .c(_al_u3877_o),
- .o(Uk3ju6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3883 (
- .a(Y1qow6),
- .b(Q80iu6),
- .c(C80iu6),
- .o(_al_u3883_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3884 (
- .a(_al_u3807_o),
- .b(_al_u3883_o),
- .c(_al_u3786_o),
- .d(J80iu6),
- .e(V70iu6),
- .o(F14ju6));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3885 (
- .a(Y1qow6),
- .b(J80iu6),
- .c(V70iu6),
- .o(_al_u3885_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3886 (
- .a(_al_u3807_o),
- .b(_al_u3885_o),
- .c(_al_u3786_o),
- .d(C80iu6),
- .e(O70iu6),
- .o(R04ju6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3887 (
- .a(Ha3ju6_lutinv),
- .b(Uk3ju6_lutinv),
- .c(F14ju6),
- .d(R04ju6),
- .o(_al_u3887_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(B*~(E)*~((C*A))+B*E*~((C*A))+~(B)*E*(C*A)+B*E*(C*A)))"),
- .INIT(32'h001300b3))
- _al_u3888 (
- .a(_al_u3793_o),
- .b(R3how6_lutinv),
- .c(F93ju6_lutinv),
- .d(_al_u3799_o),
- .e(Df3ju6),
- .o(Iz3ju6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u3889 (
- .a(_al_u3878_o),
- .b(_al_u3887_o),
- .c(Iz3ju6_lutinv),
- .o(_al_u3889_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u389 (
- .a(_al_u388_o),
- .b(T1vpw6),
- .o(L45iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*~A)"),
- .INIT(16'h0004))
- _al_u3890 (
- .a(_al_u3799_o),
- .b(_al_u3792_o),
- .c(_al_u3790_o),
- .d(Hirpw6),
- .o(_al_u3890_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~B*~(~C*A))"),
- .INIT(16'h0031))
- _al_u3891 (
- .a(_al_u3800_o),
- .b(_al_u3803_o),
- .c(R3how6_lutinv),
- .d(_al_u3890_o),
- .o(_al_u3891_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~A*~(~C*~B))"),
- .INIT(16'h5400))
- _al_u3892 (
- .a(R50iu6),
- .b(F3aiu6),
- .c(Pt2ju6),
- .d(Fpnpw6),
- .o(_al_u3892_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u3893 (
- .a(_al_u3892_o),
- .b(Fpnpw6),
- .o(_al_u3893_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~C*~A*~(~D*~B))"),
- .INIT(32'h05040000))
- _al_u3894 (
- .a(_al_u3842_o),
- .b(_al_u3866_o),
- .c(_al_u3889_o),
- .d(_al_u3891_o),
- .e(_al_u3893_o),
- .o(_al_u3894_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(C*B*A))"),
- .INIT(16'h007f))
- _al_u3895 (
- .a(Kupow6_lutinv),
- .b(_al_u3792_o),
- .c(_al_u3790_o),
- .d(Df3ju6),
- .o(V2kow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D*(~(A)*~(B)*~(C)+A*~(B)*~(C)+~(A)*B*~(C)+A*~(B)*C+~(A)*B*C))"),
- .INIT(16'h6700))
- _al_u3896 (
- .a(V2kow6_lutinv),
- .b(Hv3ju6_lutinv),
- .c(_al_u3824_o),
- .d(Ufopw6),
- .o(Queow6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*~B))"),
- .INIT(8'ha8))
- _al_u3897 (
- .a(Queow6),
- .b(_al_u3824_o),
- .c(Df3ju6),
- .o(V5oow6));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u3898 (
- .a(Y1qow6),
- .b(E90iu6),
- .c(R50iu6),
- .o(_al_u3898_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hcf45ca40))
- _al_u3899 (
- .a(_al_u3807_o),
- .b(_al_u3898_o),
- .c(_al_u3786_o),
- .d(Dc0iu6),
- .e(F60iu6),
- .o(_al_u3899_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u39 (
- .a(Iqzhu6_lutinv),
- .b(L03qw6),
- .o(Df4iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u390 (
- .a(Iekax6),
- .b(Lgkax6),
- .o(_al_u390_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3900 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3820_o),
- .c(_al_u3899_o),
- .o(_al_u3900_o));
- AL_MAP_LUT3 #(
- .EQN("~(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)"),
- .INIT(8'h4e))
- _al_u3901 (
- .a(V5oow6),
- .b(_al_u3900_o),
- .c(_al_u3892_o),
- .o(Mg3ju6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3902 (
- .a(Y1qow6),
- .b(_al_u303_o),
- .c(Y50iu6),
- .o(_al_u3902_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f153a10))
- _al_u3903 (
- .a(_al_u3807_o),
- .b(_al_u3902_o),
- .c(_al_u3786_o),
- .d(M60iu6),
- .e(R50iu6),
- .o(_al_u3903_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u3904 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3903_o),
- .c(_al_u3870_o),
- .o(Nk3ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("~(~A*~(C)*~((D*B))+~A*C*~((D*B))+~(~A)*C*(D*B)+~A*C*(D*B))"),
- .INIT(16'h2eaa))
- _al_u3905 (
- .a(Nk3ju6_lutinv),
- .b(V2kow6_lutinv),
- .c(_al_u3892_o),
- .d(Ufopw6),
- .o(_al_u3905_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3906 (
- .a(_al_u3824_o),
- .b(_al_u3807_o),
- .o(_al_u3906_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(A@(~C*~B)))"),
- .INIT(16'h5600))
- _al_u3907 (
- .a(V2kow6_lutinv),
- .b(_al_u3906_o),
- .c(Hv3ju6_lutinv),
- .d(Ufopw6),
- .o(_al_u3907_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u3908 (
- .a(Y1qow6),
- .b(Dc0iu6),
- .c(Y50iu6),
- .o(_al_u3908_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hcfca4540))
- _al_u3909 (
- .a(_al_u3807_o),
- .b(_al_u3908_o),
- .c(_al_u3786_o),
- .d(E90iu6),
- .e(R50iu6),
- .o(_al_u3909_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u391 (
- .a(_al_u390_o),
- .b(Oikax6),
- .c(Rkkax6),
- .o(_al_u391_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u3910 (
- .a(_al_u3907_o),
- .b(Hv3ju6_lutinv),
- .c(_al_u3909_o),
- .d(_al_u3818_o),
- .o(_al_u3910_o));
- AL_MAP_LUT4 #(
- .EQN("(D*(A@(C*B)))"),
- .INIT(16'h6a00))
- _al_u3911 (
- .a(V2kow6_lutinv),
- .b(_al_u3906_o),
- .c(Hv3ju6_lutinv),
- .d(Ufopw6),
- .o(_al_u3911_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
- .INIT(16'h0415))
- _al_u3912 (
- .a(_al_u3911_o),
- .b(Hv3ju6_lutinv),
- .c(_al_u3909_o),
- .d(_al_u3872_o),
- .o(_al_u3912_o));
- AL_MAP_LUT3 #(
- .EQN("(C*(B@A))"),
- .INIT(8'h60))
- _al_u3913 (
- .a(V2kow6_lutinv),
- .b(Hv3ju6_lutinv),
- .c(Ufopw6),
- .o(Myfow6));
- AL_MAP_LUT5 #(
- .EQN("~(~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)*~(E)*~(A)+~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)*E*~(A)+~(~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))*E*A+~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)*E*A)"),
- .INIT(32'h5410feba))
- _al_u3914 (
- .a(Myfow6),
- .b(Hv3ju6_lutinv),
- .c(_al_u3903_o),
- .d(_al_u3840_o),
- .e(_al_u3892_o),
- .o(_al_u3914_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~D*~C*B*A)"),
- .INIT(32'h00080000))
- _al_u3915 (
- .a(Mg3ju6_lutinv),
- .b(_al_u3905_o),
- .c(_al_u3910_o),
- .d(_al_u3912_o),
- .e(_al_u3914_o),
- .o(_al_u3915_o));
- AL_MAP_LUT5 #(
- .EQN("(E*(A@(~D*~C*B)))"),
- .INIT(32'haaa60000))
- _al_u3916 (
- .a(V2kow6_lutinv),
- .b(Hv3ju6_lutinv),
- .c(_al_u3807_o),
- .d(_al_u3786_o),
- .e(Ufopw6),
- .o(_al_u3916_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u3917 (
- .a(Y1qow6),
- .b(M60iu6),
- .c(R50iu6),
- .o(_al_u3917_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3f3a1510))
- _al_u3918 (
- .a(_al_u3807_o),
- .b(_al_u3917_o),
- .c(_al_u3786_o),
- .d(Dc0iu6),
- .e(Y50iu6),
- .o(Nweow6));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
- .INIT(16'h0415))
- _al_u3919 (
- .a(_al_u3916_o),
- .b(Hv3ju6_lutinv),
- .c(Nweow6),
- .d(_al_u3868_o),
- .o(_al_u3919_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u392 (
- .a(_al_u385_o),
- .b(A95iu6_lutinv),
- .c(L45iu6_lutinv),
- .d(_al_u391_o),
- .e(Irmpw6),
- .o(_al_u392_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(A@(~B*~(~D*~C))))"),
- .INIT(32'h66650000))
- _al_u3920 (
- .a(V2kow6_lutinv),
- .b(Hv3ju6_lutinv),
- .c(_al_u3807_o),
- .d(_al_u3786_o),
- .e(Ufopw6),
- .o(_al_u3920_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h0145))
- _al_u3921 (
- .a(_al_u3920_o),
- .b(Hv3ju6_lutinv),
- .c(Nweow6),
- .d(_al_u3816_o),
- .o(_al_u3921_o));
- AL_MAP_LUT4 #(
- .EQN("(D*(A@(~C*B)))"),
- .INIT(16'ha600))
- _al_u3922 (
- .a(V2kow6_lutinv),
- .b(Hv3ju6_lutinv),
- .c(_al_u3824_o),
- .d(Ufopw6),
- .o(_al_u3922_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
- .INIT(16'h0415))
- _al_u3923 (
- .a(_al_u3922_o),
- .b(Hv3ju6_lutinv),
- .c(_al_u3899_o),
- .d(Csnow6),
- .o(_al_u3923_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~D*~C*~B*A))"),
- .INIT(32'h0000fffd))
- _al_u3924 (
- .a(_al_u3915_o),
- .b(_al_u3919_o),
- .c(_al_u3921_o),
- .d(_al_u3923_o),
- .e(_al_u3799_o),
- .o(_al_u3924_o));
- AL_MAP_LUT5 #(
- .EQN("~(~(~A*~(~C*B))*~(E)*~(D)+~(~A*~(~C*B))*E*~(D)+~(~(~A*~(~C*B)))*E*D+~(~A*~(~C*B))*E*D)"),
- .INIT(32'h0051ff51))
- _al_u3925 (
- .a(_al_u3785_o),
- .b(_al_u3894_o),
- .c(_al_u3924_o),
- .d(Fhoiu6),
- .e(vis_apsr_o[2]),
- .o(Dm3ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(B*(~C*~(D)*~(A)+~C*D*~(A)+~(~C)*D*A+~C*D*A))"),
- .INIT(16'h8c04))
- _al_u3926 (
- .a(V5oow6),
- .b(_al_u3800_o),
- .c(_al_u3900_o),
- .d(_al_u3892_o),
- .o(Yf3ju6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(~(E*D*~C)*~(~B*A))"),
- .INIT(32'hd0dddddd))
- _al_u3927 (
- .a(_al_u3802_o),
- .b(_al_u3833_o),
- .c(Ha3ju6_lutinv),
- .d(_al_u3803_o),
- .e(F93ju6_lutinv),
- .o(_al_u3927_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u3928 (
- .a(We3ju6_lutinv),
- .b(_al_u3803_o),
- .c(F93ju6_lutinv),
- .o(_al_u3928_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(~C*~(E*~(~B*~A))))"),
- .INIT(32'hfe00f000))
- _al_u3929 (
- .a(_al_u3793_o),
- .b(F93ju6_lutinv),
- .c(_al_u3799_o),
- .d(_al_u3892_o),
- .e(Df3ju6),
- .o(_al_u3929_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u393 (
- .a(Aujpw6),
- .b(P5vpw6),
- .o(D6kiu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(~C*A))"),
- .INIT(8'h31))
- _al_u3930 (
- .a(N7pow6),
- .b(_al_u3798_o),
- .c(_al_u3795_o),
- .o(P73ju6));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~D*~C*B*~A))"),
- .INIT(32'hfffb0000))
- _al_u3931 (
- .a(Yf3ju6_lutinv),
- .b(_al_u3927_o),
- .c(_al_u3928_o),
- .d(_al_u3929_o),
- .e(P73ju6),
- .o(_al_u3931_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf0ca))
- _al_u3932 (
- .a(_al_u3841_o),
- .b(Uk3ju6_lutinv),
- .c(_al_u3793_o),
- .d(F93ju6_lutinv),
- .o(_al_u3932_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'hacf0))
- _al_u3933 (
- .a(Nk3ju6_lutinv),
- .b(_al_u3847_o),
- .c(_al_u3932_o),
- .d(F93ju6_lutinv),
- .o(_al_u3933_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u3934 (
- .a(N7pow6),
- .b(_al_u3798_o),
- .o(_al_u3934_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~B*~(~C*A)))"),
- .INIT(16'hce00))
- _al_u3935 (
- .a(N7pow6),
- .b(_al_u3798_o),
- .c(_al_u3795_o),
- .d(Hirpw6),
- .o(_al_u3935_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C*~A))"),
- .INIT(8'h23))
- _al_u3936 (
- .a(_al_u3933_o),
- .b(_al_u3934_o),
- .c(_al_u3935_o),
- .o(_al_u3936_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
- .INIT(16'h350f))
- _al_u3937 (
- .a(_al_u3833_o),
- .b(Ha3ju6_lutinv),
- .c(_al_u3793_o),
- .d(F93ju6_lutinv),
- .o(_al_u3937_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C*A))"),
- .INIT(8'h13))
- _al_u3938 (
- .a(P73ju6),
- .b(Hirpw6),
- .c(Ufopw6),
- .o(_al_u3938_o));
- AL_MAP_LUT5 #(
- .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+A*~(C)*D*E+A*C*D*E))"),
- .INIT(32'h8888084c))
- _al_u3939 (
- .a(_al_u3937_o),
- .b(_al_u3938_o),
- .c(_al_u3900_o),
- .d(We3ju6_lutinv),
- .e(F93ju6_lutinv),
- .o(_al_u3939_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u394 (
- .a(Hirpw6),
- .b(P5vpw6),
- .o(_al_u394_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(~C*A))"),
- .INIT(8'hc4))
- _al_u3940 (
- .a(_al_u3934_o),
- .b(Fpnpw6),
- .c(vis_apsr_o[1]),
- .o(_al_u3940_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u3941 (
- .a(Fpnpw6),
- .b(Nxkbx6[33]),
- .o(_al_u3941_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(D*~(~C*B*~A)))"),
- .INIT(32'h000004ff))
- _al_u3942 (
- .a(_al_u3931_o),
- .b(_al_u3936_o),
- .c(_al_u3939_o),
- .d(_al_u3940_o),
- .e(_al_u3941_o),
- .o(_al_u3942_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*~A)"),
- .INIT(16'h0004))
- _al_u3943 (
- .a(Yf3ju6_lutinv),
- .b(_al_u3927_o),
- .c(_al_u3928_o),
- .d(_al_u3929_o),
- .o(Ru2ju6));
- AL_MAP_LUT4 #(
- .EQN("(~C*~B*~(D*A))"),
- .INIT(16'h0103))
- _al_u3944 (
- .a(Fhoiu6),
- .b(_al_u1817_o),
- .c(_al_u2336_o),
- .d(vis_apsr_o[3]),
- .o(_al_u3944_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~D*~(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
- .INIT(32'hf0a0f0c0))
- _al_u3945 (
- .a(Ru2ju6),
- .b(Bbliu6),
- .c(_al_u3944_o),
- .d(Fhoiu6),
- .e(Fpnpw6),
- .o(_al_u3945_o));
- AL_MAP_LUT3 #(
- .EQN("(~(A)*~(B)*~(C)+A*~(B)*~(C)+~(A)*B*~(C)+A*~(B)*C+~(A)*B*C+A*B*C)"),
- .INIT(8'he7))
- _al_u3946 (
- .a(Idfpw6[31]),
- .b(D5epw6),
- .c(Nxkbx6[32]),
- .o(_al_u3946_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u3947 (
- .a(_al_u410_o),
- .b(_al_u1445_o),
- .c(Aujpw6),
- .o(_al_u3947_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*A)"),
- .INIT(16'h0020))
- _al_u3948 (
- .a(Ldoiu6_lutinv),
- .b(Vzupw6),
- .c(Ydopw6),
- .d(Yvjpw6),
- .o(_al_u3948_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*A*~(D*B))"),
- .INIT(16'h020a))
- _al_u3949 (
- .a(Im2ju6),
- .b(_al_u3947_o),
- .c(_al_u3948_o),
- .d(T1vpw6),
- .o(P9niu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(~B*~A))"),
- .INIT(8'he0))
- _al_u395 (
- .a(D6kiu6_lutinv),
- .b(_al_u394_o),
- .c(Xxupw6),
- .o(_al_u395_o));
- AL_MAP_LUT3 #(
- .EQN("(A*B*~(C)+A*~(B)*C+~(A)*B*C+A*B*C)"),
- .INIT(8'he8))
- _al_u3950 (
- .a(Rwjax6),
- .b(Sojax6),
- .c(Ssjax6),
- .o(_al_u3950_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(~A*~(D)*~(B)+~A*D*~(B)+~(~A)*D*B+~A*D*B))"),
- .INIT(16'h20e0))
- _al_u3951 (
- .a(_al_u3946_o),
- .b(P9niu6),
- .c(_al_u3950_o),
- .d(vis_apsr_o[0]),
- .o(Qj2ju6));
- AL_MAP_LUT5 #(
- .EQN("(~E*(D@C)*~(B*A))"),
- .INIT(32'h00000770))
- _al_u3952 (
- .a(Ng8iu6),
- .b(vis_apsr_o[1]),
- .c(Rwjax6),
- .d(Sojax6),
- .e(Ssjax6),
- .o(_al_u3952_o));
- AL_MAP_LUT5 #(
- .EQN("(~(C@B)*~(D*~(~E*~A)))"),
- .INIT(32'h00c341c3))
- _al_u3953 (
- .a(_al_u3942_o),
- .b(_al_u3945_o),
- .c(Qj2ju6),
- .d(_al_u3952_o),
- .e(Ng8iu6),
- .o(_al_u3953_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~C*(A*~(D)*~(E)+~(A)*D*~(E)+~(A)*D*E)))"),
- .INIT(32'hc8ccc8c4))
- _al_u3954 (
- .a(Dm3ju6_lutinv),
- .b(_al_u3953_o),
- .c(Rwjax6),
- .d(Sojax6),
- .e(Ssjax6),
- .o(_al_u3954_o));
- AL_MAP_LUT2 #(
- .EQN("~(B@A)"),
- .INIT(4'h9))
- _al_u3955 (
- .a(_al_u3954_o),
- .b(P0kax6),
- .o(_al_u3955_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*B))"),
- .INIT(8'ha2))
- _al_u3956 (
- .a(_al_u1824_o),
- .b(_al_u1774_o),
- .c(W4jax6),
- .o(_al_u3956_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~C*~(B*A)))"),
- .INIT(16'h00f8))
- _al_u3957 (
- .a(Cwiiu6),
- .b(_al_u2336_o),
- .c(_al_u2458_o),
- .d(P0kax6),
- .o(_al_u3957_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~C*~B))"),
- .INIT(16'ha8aa))
- _al_u3958 (
- .a(_al_u1781_o),
- .b(_al_u3957_o),
- .c(_al_u1227_o),
- .d(Skjax6),
- .o(_al_u3958_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*~A))"),
- .INIT(16'h23af))
- _al_u3959 (
- .a(_al_u956_o),
- .b(Pthiu6),
- .c(_al_u1155_o),
- .d(Xxupw6),
- .o(_al_u3959_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u396 (
- .a(Aujpw6),
- .b(Hirpw6),
- .o(Frziu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*~C))"),
- .INIT(16'h1011))
- _al_u3960 (
- .a(_al_u3956_o),
- .b(_al_u3958_o),
- .c(_al_u3959_o),
- .d(U98iu6),
- .o(_al_u3960_o));
- AL_MAP_LUT5 #(
- .EQN("(E*(A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D))"),
- .INIT(32'hfa120000))
- _al_u3961 (
- .a(Aujpw6),
- .b(Hirpw6),
- .c(R3vpw6),
- .d(T1vpw6),
- .e(Vzupw6),
- .o(_al_u3961_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(~D*~B)))"),
- .INIT(16'h0a2a))
- _al_u3962 (
- .a(_al_u1795_o),
- .b(_al_u2146_o),
- .c(_al_u3961_o),
- .d(Aujpw6),
- .o(_al_u3962_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(A*~(C*~(E)*~(D)+C*E*~(D)+~(C)*E*D+C*E*D)))"),
- .INIT(32'h33311131))
- _al_u3963 (
- .a(Yo1ju6),
- .b(_al_u3962_o),
- .c(_al_u1230_o),
- .d(P0kax6),
- .e(Rwjax6),
- .o(_al_u3963_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(~D*A))"),
- .INIT(16'h3f15))
- _al_u3964 (
- .a(Yo1ju6),
- .b(_al_u190_o),
- .c(_al_u394_o),
- .d(Ssjax6),
- .o(_al_u3964_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*B*A*~(D*~C))"),
- .INIT(32'h00008088))
- _al_u3965 (
- .a(_al_u1684_o),
- .b(Ls1ju6),
- .c(Md0iu6_lutinv),
- .d(Aujpw6),
- .e(Ufopw6),
- .o(_al_u3965_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u3966 (
- .a(_al_u3960_o),
- .b(_al_u3963_o),
- .c(_al_u3964_o),
- .d(_al_u3965_o),
- .o(_al_u3966_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u3967 (
- .a(Eoyiu6_lutinv),
- .b(Cc2ju6_lutinv),
- .c(R3vpw6),
- .o(_al_u3967_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*B*A))"),
- .INIT(16'h0f07))
- _al_u3968 (
- .a(_al_u1684_o),
- .b(Xc2ju6_lutinv),
- .c(_al_u1463_o),
- .d(Vygax6),
- .o(Ab2ju6));
- AL_MAP_LUT4 #(
- .EQN("~(~B*~((C*~A))*~(D)+~B*(C*~A)*~(D)+~(~B)*(C*~A)*D+~B*(C*~A)*D)"),
- .INIT(16'hafcc))
- _al_u3969 (
- .a(_al_u3967_o),
- .b(Ab2ju6),
- .c(_al_u1684_o),
- .d(Hirpw6),
- .o(Ta2ju6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u397 (
- .a(Frziu6_lutinv),
- .b(P5vpw6),
- .o(_al_u397_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(~B*~A*~(E*C)))"),
- .INIT(32'h00fe00ee))
- _al_u3970 (
- .a(_al_u1087_o),
- .b(R3vpw6),
- .c(SLEEPHOLDACKn),
- .d(Yvjpw6),
- .e(Z9opw6),
- .o(_al_u3970_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(~D*B)))"),
- .INIT(16'h0a8a))
- _al_u3971 (
- .a(_al_u3966_o),
- .b(Ta2ju6_lutinv),
- .c(Qe8iu6_lutinv),
- .d(_al_u3970_o),
- .o(_al_u3971_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(B*~(~C*A)))"),
- .INIT(16'h3b00))
- _al_u3972 (
- .a(_al_u1815_o),
- .b(HREADY),
- .c(Dxvpw6),
- .d(Ydopw6),
- .o(_al_u3972_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*~C*B*A)"),
- .INIT(32'h08000000))
- _al_u3973 (
- .a(_al_u1814_o),
- .b(_al_u718_o),
- .c(F6ziu6),
- .d(Dxvpw6),
- .e(U9ypw6),
- .o(_al_u3973_o));
- AL_MAP_LUT5 #(
- .EQN("~(~C*~(E*~(B*~(D*A))))"),
- .INIT(32'hfbf3f0f0))
- _al_u3974 (
- .a(_al_u3955_o),
- .b(_al_u3971_o),
- .c(_al_u3972_o),
- .d(_al_u3973_o),
- .e(HREADY),
- .o(Buohu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u3975 (
- .a(Tu4iu6),
- .b(_al_u411_o),
- .c(DBGRESTARTED),
- .o(_al_u3975_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(D*C*~B*~A))"),
- .INIT(32'hefff0000))
- _al_u3976 (
- .a(_al_u3462_o),
- .b(_al_u3374_o),
- .c(_al_u3478_o),
- .d(_al_u3975_o),
- .e(HREADY),
- .o(Kt4iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3977 (
- .a(HADDR[5]),
- .b(HADDR[11]),
- .o(_al_u3977_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~C*~(D)*~(A)+~C*D*~(A)+~(~C)*D*A+~C*D*A))"),
- .INIT(16'h40c8))
- _al_u3978 (
- .a(n5754),
- .b(HADDR[10]),
- .c(_al_u2620_o),
- .d(Yf1qw6),
- .o(_al_u3978_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*A))"),
- .INIT(8'h4c))
- _al_u3979 (
- .a(B79bx6),
- .b(Nd3qw6),
- .c(Pg3qw6),
- .o(_al_u3979_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u398 (
- .a(T1vpw6),
- .b(Ydopw6),
- .o(_al_u398_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B))"),
- .INIT(16'h20a8))
- _al_u3980 (
- .a(_al_u3978_o),
- .b(n5754),
- .c(_al_u2530_o),
- .d(_al_u3979_o),
- .o(_al_u3980_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u3981 (
- .a(_al_u2753_o),
- .b(_al_u2757_o),
- .c(Bf3qw6),
- .o(_al_u3981_o));
- AL_MAP_LUT4 #(
- .EQN("(A*(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B))"),
- .INIT(16'h8a02))
- _al_u3982 (
- .a(_al_u3981_o),
- .b(n5754),
- .c(_al_u2526_o),
- .d(B79bx6),
- .o(_al_u3982_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*C*~(D)*~(E)+~(B)*~(C)*D*~(E)+B*~(C)*D*~(E)+~(B)*C*D*~(E)+B*C*D*~(E)+B*~(C)*D*E))"),
- .INIT(32'h0800aa22))
- _al_u3983 (
- .a(_al_u3982_o),
- .b(HADDR[4]),
- .c(HADDR[2]),
- .d(HADDR[6]),
- .e(HADDR[3]),
- .o(_al_u3983_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(~D*~B)))"),
- .INIT(16'h5070))
- _al_u3984 (
- .a(HADDR[7]),
- .b(HADDR[2]),
- .c(HADDR[6]),
- .d(HADDR[3]),
- .o(_al_u3984_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*B*(A*~(C)*~(E)+A*C*~(E)+~(A)*C*E+A*C*E))"),
- .INIT(32'h00c00088))
- _al_u3985 (
- .a(_al_u3977_o),
- .b(_al_u3980_o),
- .c(_al_u3983_o),
- .d(_al_u3984_o),
- .e(HADDR[8]),
- .o(_al_u3985_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u3986 (
- .a(HADDR[2]),
- .b(HADDR[10]),
- .o(Gm9iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(~C*~(D)*~(A)+~C*D*~(A)+~(~C)*D*A+~C*D*A))"),
- .INIT(16'h1032))
- _al_u3987 (
- .a(n5754),
- .b(HADDR[3]),
- .c(_al_u2615_o),
- .d(Pg3qw6),
- .o(Zl9iu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hf7f77f7c))
- _al_u3988 (
- .a(Gm9iu6_lutinv),
- .b(Zl9iu6_lutinv),
- .c(HADDR[9]),
- .d(HADDR[7]),
- .e(HADDR[8]),
- .o(_al_u3988_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~C*~(D)*~(A)+~C*D*~(A)+~(~C)*D*A+~C*D*A))"),
- .INIT(16'h40c8))
- _al_u3989 (
- .a(n5754),
- .b(HADDR[8]),
- .c(_al_u2530_o),
- .d(Nd3qw6),
- .o(_al_u3989_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u399 (
- .a(_al_u395_o),
- .b(_al_u397_o),
- .c(_al_u398_o),
- .o(Gpyiu6));
- AL_MAP_LUT5 #(
- .EQN("~((C*~B)*~((~E*D))*~(A)+(C*~B)*(~E*D)*~(A)+~((C*~B))*(~E*D)*A+(C*~B)*(~E*D)*A)"),
- .INIT(32'hefef45ef))
- _al_u3990 (
- .a(n5754),
- .b(_al_u2526_o),
- .c(_al_u2605_o),
- .d(B79bx6),
- .e(Bf3qw6),
- .o(_al_u3990_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*B*A*~(E*~D))"),
- .INIT(32'h08000808))
- _al_u3991 (
- .a(_al_u3978_o),
- .b(_al_u3989_o),
- .c(_al_u3990_o),
- .d(HADDR[2]),
- .e(HADDR[3]),
- .o(_al_u3991_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(~B*~(C*~A)))"),
- .INIT(16'h00dc))
- _al_u3992 (
- .a(_al_u3988_o),
- .b(_al_u3991_o),
- .c(_al_u3977_o),
- .d(HADDR[6]),
- .o(_al_u3992_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3993 (
- .a(_al_u2486_o),
- .b(_al_u2490_o),
- .c(_al_u2494_o),
- .d(_al_u2581_o),
- .o(_al_u3993_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*B*A)"),
- .INIT(32'h80000000))
- _al_u3994 (
- .a(_al_u3993_o),
- .b(_al_u2456_o),
- .c(_al_u2478_o),
- .d(_al_u2482_o),
- .e(_al_u2498_o),
- .o(_al_u3994_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u3995 (
- .a(_al_u2502_o),
- .b(_al_u2506_o),
- .c(_al_u2510_o),
- .d(_al_u2514_o),
- .o(_al_u3995_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~D*~C*B*A)"),
- .INIT(32'h00080000))
- _al_u3996 (
- .a(_al_u3994_o),
- .b(_al_u3995_o),
- .c(_al_u2534_o),
- .d(_al_u2538_o),
- .e(_al_u2571_o),
- .o(In9iu6));
- AL_MAP_LUT4 #(
- .EQN("~(A*~((D*C))*~(B)+A*(D*C)*~(B)+~(A)*(D*C)*B+A*(D*C)*B)"),
- .INIT(16'h1ddd))
- _al_u3997 (
- .a(In9iu6),
- .b(n5754),
- .c(_al_u2939_o),
- .d(_al_u2947_o),
- .o(_al_u3997_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u3998 (
- .a(_al_u3997_o),
- .b(HADDR[15]),
- .c(HSIZE[1]),
- .o(_al_u3998_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u3999 (
- .a(_al_u2968_o),
- .b(n1481),
- .o(_al_u3999_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4 (
- .a(Vzjpw6),
- .b(W0jax6),
- .o(Vnfpw6[6]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u40 (
- .a(Iqzhu6_lutinv),
- .b(P93qw6),
- .o(H34iu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u400 (
- .a(Skjax6),
- .b(Ydopw6),
- .o(_al_u400_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u4000 (
- .a(_al_u3999_o),
- .b(_al_u2963_o),
- .c(S18iu6),
- .o(_al_u4000_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4001 (
- .a(HREADY),
- .b(W5ypw6),
- .o(_al_u4001_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~((D@C)*~(~B*~A)))"),
- .INIT(32'h0000f11f))
- _al_u4002 (
- .a(Nr4iu6_lutinv),
- .b(_al_u4000_o),
- .c(HADDR[10]),
- .d(HADDR[3]),
- .e(_al_u4001_o),
- .o(_al_u4002_o));
- AL_MAP_LUT5 #(
- .EQN("~(E*~(~D*~(C*~(~B*~A))))"),
- .INIT(32'h001fffff))
- _al_u4003 (
- .a(_al_u3985_o),
- .b(_al_u3992_o),
- .c(_al_u3998_o),
- .d(_al_u2971_o),
- .e(_al_u4002_o),
- .o(Yavhu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4004 (
- .a(HREADY),
- .b(Ztupw6),
- .o(_al_u4004_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~(~C*~B)*(D@A)))"),
- .INIT(32'h0000ab57))
- _al_u4005 (
- .a(HADDR[7]),
- .b(Nr4iu6_lutinv),
- .c(_al_u4000_o),
- .d(HADDR[2]),
- .e(_al_u4004_o),
- .o(_al_u4005_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(~E*~(C*~(~B*~A))))"),
- .INIT(32'h00ff1fff))
- _al_u4006 (
- .a(_al_u3985_o),
- .b(_al_u3992_o),
- .c(_al_u3998_o),
- .d(_al_u4005_o),
- .e(_al_u2971_o),
- .o(Fbvhu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4007 (
- .a(HREADY),
- .b(R9yax6),
- .o(_al_u4007_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(~(~C*~B)*(D@A)))"),
- .INIT(32'h0000ab57))
- _al_u4008 (
- .a(HADDR[5]),
- .b(Nr4iu6_lutinv),
- .c(_al_u4000_o),
- .d(HADDR[3]),
- .e(_al_u4007_o),
- .o(_al_u4008_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(~E*~(C*~(~B*~A))))"),
- .INIT(32'h00ff1fff))
- _al_u4009 (
- .a(_al_u3985_o),
- .b(_al_u3992_o),
- .c(_al_u3998_o),
- .d(_al_u4008_o),
- .e(_al_u2971_o),
- .o(Mbvhu6));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C*A))"),
- .INIT(8'h13))
- _al_u401 (
- .a(_al_u386_o),
- .b(_al_u400_o),
- .c(Ssjax6),
- .o(_al_u401_o));
- AL_MAP_LUT5 #(
- .EQN("(~(E*~D)*~(~A*~(~C*~B)))"),
- .INIT(32'hab00abab))
- _al_u4010 (
- .a(HADDR[4]),
- .b(Nr4iu6_lutinv),
- .c(_al_u4000_o),
- .d(HREADY),
- .e(I5xax6),
- .o(_al_u4010_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*~(~E*~(C*~(~B*~A))))"),
- .INIT(32'h00ff1fff))
- _al_u4011 (
- .a(_al_u3985_o),
- .b(_al_u3992_o),
- .c(_al_u3998_o),
- .d(_al_u4010_o),
- .e(_al_u2971_o),
- .o(Hcvhu6));
- AL_MAP_LUT5 #(
- .EQN("(~(E*~D)*~(~C*~(~B*~A)))"),
- .INIT(32'hf100f1f1))
- _al_u4012 (
- .a(Nr4iu6_lutinv),
- .b(_al_u4000_o),
- .c(HADDR[8]),
- .d(HREADY),
- .e(Yzspw6),
- .o(_al_u4012_o));
- AL_MAP_LUT5 #(
- .EQN("~(E*~(~D*~(C*~(~B*~A))))"),
- .INIT(32'h001fffff))
- _al_u4013 (
- .a(_al_u3985_o),
- .b(_al_u3992_o),
- .c(_al_u3998_o),
- .d(_al_u2971_o),
- .e(_al_u4012_o),
- .o(Tivhu6));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~C*~(~D*~A)))"),
- .INIT(16'hc0c4))
- _al_u4014 (
- .a(X8ziu6_lutinv),
- .b(P14qw6),
- .c(Rwjax6),
- .d(Ssjax6),
- .o(_al_u4014_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~D*C*A))"),
- .INIT(16'hcc4c))
- _al_u4015 (
- .a(J8ziu6),
- .b(_al_u4014_o),
- .c(_al_u2376_o),
- .d(Jgxpw6),
- .o(_al_u4015_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'hb5f9))
- _al_u4016 (
- .a(N4kax6),
- .b(P0kax6),
- .c(Rwjax6),
- .d(W4jax6),
- .o(_al_u4016_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(C)*~(D)*~((~E*~A))+B*C*~(D)*~((~E*~A))+B*~(C)*D*~((~E*~A))+~(B)*C*D*~((~E*~A))+B*C*D*~((~E*~A))+B*C*~(D)*(~E*~A)+~(B)*C*D*(~E*~A)+B*C*D*(~E*~A))"),
- .INIT(32'hfcccf8c8))
- _al_u4017 (
- .a(_al_u4016_o),
- .b(Dxvpw6),
- .c(Skjax6),
- .d(Sojax6),
- .e(Ssjax6),
- .o(_al_u4017_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(~C*~A))"),
- .INIT(8'hc8))
- _al_u4018 (
- .a(_al_u4017_o),
- .b(Jiiiu6),
- .c(P14qw6),
- .o(E9ziu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(D*~C*~A))"),
- .INIT(16'h3233))
- _al_u4019 (
- .a(_al_u4015_o),
- .b(E9ziu6_lutinv),
- .c(Dxvpw6),
- .d(U9ypw6),
- .o(_al_u4019_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*~C))"),
- .INIT(16'h7f77))
- _al_u402 (
- .a(_al_u392_o),
- .b(Gpyiu6),
- .c(_al_u401_o),
- .d(Jckax6),
- .o(Fi1ju6));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u4020 (
- .a(F6ziu6),
- .b(P14qw6),
- .c(U9ypw6),
- .o(_al_u4020_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4021 (
- .a(_al_u1087_o),
- .b(_al_u1610_o),
- .o(_al_u4021_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(B*~(C*(E@A))))"),
- .INIT(32'h7300b300))
- _al_u4022 (
- .a(_al_u3954_o),
- .b(_al_u4019_o),
- .c(_al_u4020_o),
- .d(_al_u4021_o),
- .e(P0kax6),
- .o(_al_u4022_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u4023 (
- .a(_al_u2148_o),
- .b(_al_u155_o),
- .c(P5vpw6),
- .o(_al_u4023_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
- .INIT(16'h00ac))
- _al_u4024 (
- .a(_al_u1070_o),
- .b(_al_u397_o),
- .c(R3vpw6),
- .d(Ufopw6),
- .o(_al_u4024_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*~A)"),
- .INIT(16'h0004))
- _al_u4025 (
- .a(_al_u4023_o),
- .b(Oeziu6),
- .c(_al_u2154_o),
- .d(_al_u4024_o),
- .o(_al_u4025_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u4026 (
- .a(N3ziu6),
- .b(_al_u142_o),
- .c(_al_u145_o),
- .d(D1piu6_lutinv),
- .o(A0ziu6));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u4027 (
- .a(A0ziu6),
- .b(_al_u1662_o),
- .c(_al_u1675_o),
- .o(_al_u4027_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*C*B))"),
- .INIT(16'h2aaa))
- _al_u4028 (
- .a(_al_u4027_o),
- .b(J1ziu6),
- .c(_al_u1221_o),
- .d(Dxvpw6),
- .o(_al_u4028_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u4029 (
- .a(T4aow6),
- .b(_al_u154_o),
- .c(Ydopw6),
- .o(_al_u4029_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*~A)"),
- .INIT(16'h0400))
- _al_u403 (
- .a(A6cbx6),
- .b(Ahdbx6),
- .c(Bp2qw6),
- .d(Cfvpw6),
- .o(_al_u403_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~((C*B))*~(D)+A*(C*B)*~(D)+~(A)*(C*B)*D+A*(C*B)*D)"),
- .INIT(16'h3f55))
- _al_u4030 (
- .a(_al_u4029_o),
- .b(_al_u1769_o),
- .c(Aujiu6),
- .d(Dxvpw6),
- .o(Mdziu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(~D*~B))"),
- .INIT(16'ha080))
- _al_u4031 (
- .a(_al_u4025_o),
- .b(_al_u4028_o),
- .c(Mdziu6_lutinv),
- .d(Vygax6),
- .o(_al_u4031_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u4032 (
- .a(Y0jiu6),
- .b(Daiax6),
- .c(Ufopw6),
- .o(_al_u4032_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u4033 (
- .a(Veziu6),
- .b(_al_u1069_o),
- .c(_al_u1103_o),
- .d(_al_u4032_o),
- .o(_al_u4033_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(D*B*A))"),
- .INIT(16'h070f))
- _al_u4034 (
- .a(A95iu6_lutinv),
- .b(Xuyiu6_lutinv),
- .c(_al_u400_o),
- .d(Dxvpw6),
- .o(_al_u4034_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(D*C))"),
- .INIT(16'h0222))
- _al_u4035 (
- .a(Htyiu6),
- .b(_al_u4034_o),
- .c(Dxvpw6),
- .d(Sojax6),
- .o(_al_u4035_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u4036 (
- .a(_al_u4031_o),
- .b(_al_u4033_o),
- .c(Rcziu6),
- .d(_al_u4035_o),
- .o(_al_u4036_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~C)*~(B*~A))"),
- .INIT(16'hbbb0))
- _al_u4037 (
- .a(_al_u4022_o),
- .b(_al_u4036_o),
- .c(HREADY),
- .d(Vgjpw6),
- .o(Oxohu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4038 (
- .a(C10bx6),
- .b(Qo3bx6),
- .o(_al_u4038_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4039 (
- .a(Lr9bx6),
- .b(Nt9bx6),
- .o(_al_u4039_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~D*C*~B*A)"),
- .INIT(32'h00200000))
- _al_u404 (
- .a(_al_u403_o),
- .b(D2rpw6),
- .c(Dg2qw6),
- .d(Drcbx6),
- .e(Gwwpw6),
- .o(_al_u404_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf340))
- _al_u4040 (
- .a(_al_u4038_o),
- .b(_al_u4039_o),
- .c(Auyax6),
- .d(Eyyax6),
- .o(Hltow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4041 (
- .a(Czzax6),
- .b(Mk3bx6),
- .o(_al_u4041_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4042 (
- .a(Gihbx6),
- .b(Ikhbx6),
- .o(_al_u4042_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf340))
- _al_u4043 (
- .a(_al_u4041_o),
- .b(_al_u4042_o),
- .c(S3mpw6),
- .d(Yryax6),
- .o(Oltow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4044 (
- .a(C10bx6),
- .b(Lr9bx6),
- .c(Nt9bx6),
- .d(Qo3bx6),
- .o(_al_u4044_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*A))"),
- .INIT(8'h0d))
- _al_u4045 (
- .a(Hltow6_lutinv),
- .b(Oltow6_lutinv),
- .c(_al_u4044_o),
- .o(_al_u4045_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u4046 (
- .a(_al_u4042_o),
- .b(Czzax6),
- .c(Mk3bx6),
- .o(_al_u4046_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*B*~A))"),
- .INIT(16'h0f0b))
- _al_u4047 (
- .a(Hltow6_lutinv),
- .b(Oltow6_lutinv),
- .c(_al_u4046_o),
- .d(_al_u4044_o),
- .o(_al_u4047_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*~B))"),
- .INIT(8'h8a))
- _al_u4048 (
- .a(_al_u4041_o),
- .b(S3mpw6),
- .c(Yryax6),
- .o(_al_u4048_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u4049 (
- .a(S3mpw6),
- .b(Yryax6),
- .o(_al_u4049_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u405 (
- .a(H0ebx6),
- .b(Jvkpw6),
- .c(Lhbbx6),
- .d(Ojebx6),
- .o(_al_u405_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hffb34400))
- _al_u4050 (
- .a(_al_u4048_o),
- .b(_al_u4042_o),
- .c(_al_u4049_o),
- .d(Bcabx6),
- .e(Vbspw6),
- .o(Rjtow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
- .INIT(16'hd4f5))
- _al_u4051 (
- .a(Auyax6),
- .b(Cwyax6),
- .c(Eyyax6),
- .d(Tngbx6),
- .o(_al_u4051_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E)*~((C*~(B*~A)))+D*E*~((C*~(B*~A)))+~(D)*E*(C*~(B*~A))+D*E*(C*~(B*~A)))"),
- .INIT(32'hffb04f00))
- _al_u4052 (
- .a(_al_u4051_o),
- .b(_al_u4038_o),
- .c(_al_u4039_o),
- .d(Cwyax6),
- .e(Tngbx6),
- .o(Yjtow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~D*C*A))"),
- .INIT(16'hcc4c))
- _al_u4053 (
- .a(_al_u4045_o),
- .b(_al_u4047_o),
- .c(Rjtow6_lutinv),
- .d(Yjtow6_lutinv),
- .o(_al_u4053_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4054 (
- .a(Muhbx6),
- .b(Owhbx6),
- .o(Nhtow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4055 (
- .a(L1bbx6),
- .b(N5bbx6),
- .o(_al_u4055_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf430))
- _al_u4056 (
- .a(Nhtow6),
- .b(_al_u4055_o),
- .c(Tgzax6),
- .d(Vkzax6),
- .o(Eutow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4057 (
- .a(Aa2bx6),
- .b(Cxzax6),
- .o(_al_u4057_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~((C*B))*D*~(E)+A*~((C*B))*D*~(E)+~(A)*(C*B)*~(D)*E+~(A)*~((C*B))*D*E+A*~((C*B))*D*E+~(A)*(C*B)*D*E+A*(C*B)*D*E)"),
- .INIT(32'hff403f00))
- _al_u4058 (
- .a(_al_u4057_o),
- .b(C3wpw6),
- .c(U31bx6),
- .d(Wmzax6),
- .e(Yqzax6),
- .o(Xttow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4059 (
- .a(Aa2bx6),
- .b(C3wpw6),
- .c(Cxzax6),
- .d(U31bx6),
- .o(_al_u4059_o));
- AL_MAP_LUT5 #(
- .EQN("(E*D*~C*~B*A)"),
- .INIT(32'h02000000))
- _al_u406 (
- .a(_al_u405_o),
- .b(Ra2qw6),
- .c(Urgbx6),
- .d(Ymwpw6),
- .e(Yzqpw6),
- .o(_al_u406_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~((~E*~D))+A*~(B)*~(C)*~((~E*~D))+~(A)*B*~(C)*~((~E*~D))+~(A)*~(B)*C*~((~E*~D))+~(A)*B*C*~((~E*~D))+~(A)*~(B)*~(C)*(~E*~D)+A*~(B)*~(C)*(~E*~D)+~(A)*~(B)*C*(~E*~D)+~(A)*B*C*(~E*~D))"),
- .INIT(32'h57575753))
- _al_u4060 (
- .a(Eutow6_lutinv),
- .b(Xttow6_lutinv),
- .c(_al_u4059_o),
- .d(Nhtow6),
- .e(_al_u4055_o),
- .o(_al_u4060_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
- .INIT(16'h0123))
- _al_u4061 (
- .a(_al_u4053_o),
- .b(_al_u4060_o),
- .c(Hltow6_lutinv),
- .d(Oltow6_lutinv),
- .o(_al_u4061_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4062 (
- .a(_al_u4046_o),
- .b(_al_u4044_o),
- .o(_al_u4062_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(B*(D*~(E)*~(A)+D*E*~(A)+~(D)*E*A+D*E*A)))"),
- .INIT(32'h03070b0f))
- _al_u4063 (
- .a(_al_u4053_o),
- .b(_al_u4060_o),
- .c(_al_u4062_o),
- .d(Hltow6_lutinv),
- .e(Oltow6_lutinv),
- .o(_al_u4063_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E)"),
- .INIT(32'h57130703))
- _al_u4064 (
- .a(Xttow6_lutinv),
- .b(Nhtow6),
- .c(_al_u4055_o),
- .d(Tgzax6),
- .e(Vkzax6),
- .o(_al_u4064_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(C*~B*~A))"),
- .INIT(16'h00ef))
- _al_u4065 (
- .a(_al_u4064_o),
- .b(Eutow6_lutinv),
- .c(Xttow6_lutinv),
- .d(_al_u4059_o),
- .o(_al_u4065_o));
- AL_MAP_LUT4 #(
- .EQN("(~(B)*~((~C*A))*~(D)+B*~((~C*A))*~(D)+B*(~C*A)*~(D)+B*~((~C*A))*D)"),
- .INIT(16'hc4fd))
- _al_u4066 (
- .a(Nv9bx6),
- .b(Wmzax6),
- .c(Xozax6),
- .d(Yqzax6),
- .o(_al_u4066_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~(B*~A))"),
- .INIT(16'hb000))
- _al_u4067 (
- .a(_al_u4066_o),
- .b(_al_u4057_o),
- .c(C3wpw6),
- .d(U31bx6),
- .o(_al_u4067_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u4068 (
- .a(_al_u4067_o),
- .b(Nv9bx6),
- .c(Xozax6),
- .o(Altow6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*B))"),
- .INIT(8'ha2))
- _al_u4069 (
- .a(Nhtow6),
- .b(Tgzax6),
- .c(Vkzax6),
- .o(_al_u4069_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u407 (
- .a(Vuciu6),
- .b(Hw8ax6),
- .c(Sqwpw6),
- .d(Zm8ax6),
- .o(_al_u407_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4070 (
- .a(Tgzax6),
- .b(Vkzax6),
- .o(_al_u4070_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hffb34400))
- _al_u4071 (
- .a(_al_u4069_o),
- .b(_al_u4055_o),
- .c(_al_u4070_o),
- .d(C5gbx6),
- .e(Uizax6),
- .o(Tktow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hd8d0))
- _al_u4072 (
- .a(_al_u4065_o),
- .b(Altow6_lutinv),
- .c(Tktow6_lutinv),
- .d(_al_u4064_o),
- .o(_al_u4072_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf340))
- _al_u4073 (
- .a(_al_u4045_o),
- .b(_al_u4047_o),
- .c(Rjtow6_lutinv),
- .d(Yjtow6_lutinv),
- .o(Q8tow6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u4074 (
- .a(_al_u4059_o),
- .b(Nhtow6),
- .c(_al_u4055_o),
- .o(_al_u4074_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E)"),
- .INIT(32'h00ff07cf))
- _al_u4075 (
- .a(_al_u4061_o),
- .b(_al_u4063_o),
- .c(_al_u4072_o),
- .d(Q8tow6_lutinv),
- .e(_al_u4074_o),
- .o(Kctow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4076 (
- .a(Hf0bx6),
- .b(Yxrpw6),
- .o(_al_u4076_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4077 (
- .a(Gd0bx6),
- .b(Xo1bx6),
- .o(_al_u4077_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4078 (
- .a(_al_u4076_o),
- .b(_al_u4077_o),
- .o(_al_u4078_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4079 (
- .a(Fb0bx6),
- .b(Rk1bx6),
- .o(_al_u4079_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u408 (
- .a(_al_u404_o),
- .b(_al_u406_o),
- .c(_al_u407_o),
- .d(M94iu6),
- .o(Tu4iu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4080 (
- .a(E90bx6),
- .b(Z71bx6),
- .o(_al_u4080_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf340))
- _al_u4081 (
- .a(_al_u4079_o),
- .b(_al_u4080_o),
- .c(E05bx6),
- .d(I45bx6),
- .o(_al_u4081_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf340))
- _al_u4082 (
- .a(_al_u4076_o),
- .b(_al_u4077_o),
- .c(K65bx6),
- .d(Oa5bx6),
- .o(_al_u4082_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u4083 (
- .a(_al_u4080_o),
- .b(Fb0bx6),
- .c(Rk1bx6),
- .o(_al_u4083_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~B)*~(~C*~A))"),
- .INIT(16'hfac8))
- _al_u4084 (
- .a(_al_u4078_o),
- .b(_al_u4081_o),
- .c(_al_u4082_o),
- .d(_al_u4083_o),
- .o(_al_u4084_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4085 (
- .a(C30bx6),
- .b(Us3bx6),
- .o(_al_u4085_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4086 (
- .a(Rijbx6),
- .b(Tkjbx6),
- .o(_al_u4086_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf520))
- _al_u4087 (
- .a(_al_u4085_o),
- .b(_al_u4086_o),
- .c(I74bx6),
- .d(Mb4bx6),
- .o(Vkuow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4088 (
- .a(C50bx6),
- .b(Fc1bx6),
- .o(_al_u4088_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u4089 (
- .a(_al_u4088_o),
- .b(D70bx6),
- .c(Lg1bx6),
- .o(_al_u4089_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u409 (
- .a(Aujpw6),
- .b(Vzupw6),
- .o(Pthiu6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u4090 (
- .a(_al_u4086_o),
- .b(C30bx6),
- .c(Us3bx6),
- .o(_al_u4090_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~((C*B))*D*~(E)+~(A)*~((C*B))*~(D)*E+~(A)*(C*B)*~(D)*E+~(A)*~((C*B))*D*E+A*~((C*B))*D*E+~(A)*(C*B)*D*E+A*(C*B)*D*E)"),
- .INIT(32'hff552a00))
- _al_u4091 (
- .a(_al_u4088_o),
- .b(D70bx6),
- .c(Lg1bx6),
- .d(Od4bx6),
- .e(Sh4bx6),
- .o(_al_u4091_o));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hfa08))
- _al_u4092 (
- .a(Vkuow6_lutinv),
- .b(_al_u4089_o),
- .c(_al_u4090_o),
- .d(_al_u4091_o),
- .o(X7uow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4093 (
- .a(_al_u4089_o),
- .b(_al_u4090_o),
- .o(_al_u4093_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*~B))"),
- .INIT(8'ha8))
- _al_u4094 (
- .a(_al_u4084_o),
- .b(X7uow6_lutinv),
- .c(_al_u4093_o),
- .o(_al_u4094_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u4095 (
- .a(_al_u4060_o),
- .b(_al_u4074_o),
- .o(_al_u4095_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*(~(~E*~C)*~(D)*~(A)+~(~E*~C)*D*~(A)+~(~(~E*~C))*D*A+~(~E*~C)*D*A))"),
- .INIT(32'h33113210))
- _al_u4096 (
- .a(_al_u4053_o),
- .b(_al_u4095_o),
- .c(Hltow6_lutinv),
- .d(Oltow6_lutinv),
- .e(_al_u4044_o),
- .o(_al_u4096_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4097 (
- .a(_al_u4062_o),
- .b(_al_u4074_o),
- .o(_al_u4097_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C*~(~D*B)))"),
- .INIT(16'h0a8a))
- _al_u4098 (
- .a(Kctow6_lutinv),
- .b(_al_u4094_o),
- .c(_al_u4096_o),
- .d(_al_u4097_o),
- .o(_al_u4098_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
- .INIT(16'hd4f5))
- _al_u4099 (
- .a(I74bx6),
- .b(K94bx6),
- .c(Mb4bx6),
- .d(Z9abx6),
- .o(_al_u4099_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u41 (
- .a(Iqzhu6_lutinv),
- .b(T3opw6),
- .o(Oh4iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u410 (
- .a(R3vpw6),
- .b(Yvjpw6),
- .o(_al_u410_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u4100 (
- .a(_al_u4099_o),
- .b(_al_u4085_o),
- .c(_al_u4086_o),
- .o(_al_u4100_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u4101 (
- .a(_al_u4100_o),
- .b(K94bx6),
- .c(Z9abx6),
- .o(S8uow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~((C*~B))*~(D)+~(A)*~((C*~B))*D+A*~((C*~B))*D+~(A)*(C*~B)*D)"),
- .INIT(16'hdf45))
- _al_u4102 (
- .a(Od4bx6),
- .b(Qf4bx6),
- .c(Rlgbx6),
- .d(Sh4bx6),
- .o(_al_u4102_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*C*~A))"),
- .INIT(16'h8ccc))
- _al_u4103 (
- .a(_al_u4102_o),
- .b(_al_u4088_o),
- .c(D70bx6),
- .d(Lg1bx6),
- .o(_al_u4103_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u4104 (
- .a(_al_u4103_o),
- .b(Qf4bx6),
- .c(Rlgbx6),
- .o(L8uow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~((~B*A))*~(C)*~(D)+~((~B*A))*~(C)*D+(~B*A)*~(C)*D+~((~B*A))*C*D)"),
- .INIT(16'hdf0d))
- _al_u4105 (
- .a(S8uow6_lutinv),
- .b(L8uow6_lutinv),
- .c(Vkuow6_lutinv),
- .d(_al_u4091_o),
- .o(_al_u4105_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*~A))"),
- .INIT(8'h0e))
- _al_u4106 (
- .a(_al_u4105_o),
- .b(_al_u4089_o),
- .c(_al_u4090_o),
- .o(_al_u4106_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'h53))
- _al_u4107 (
- .a(S8uow6_lutinv),
- .b(L8uow6_lutinv),
- .c(_al_u4106_o),
- .o(_al_u4107_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(B*~(D)*~((E*~C))+~(B)*~(D)*(E*~C)+B*~(D)*(E*~C)+B*D*(E*~C)))"),
- .INIT(32'h088a0088))
- _al_u4108 (
- .a(_al_u4076_o),
- .b(K65bx6),
- .c(M85bx6),
- .d(Oa5bx6),
- .e(Pjgbx6),
- .o(_al_u4108_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(D)*~((B*~A))+C*D*~((B*~A))+~(C)*D*(B*~A)+C*D*(B*~A))"),
- .INIT(16'hf4b0))
- _al_u4109 (
- .a(_al_u4108_o),
- .b(_al_u4077_o),
- .c(M85bx6),
- .d(Pjgbx6),
- .o(E8uow6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*C*B*A)"),
- .INIT(32'h00000080))
- _al_u411 (
- .a(_al_u142_o),
- .b(Pthiu6),
- .c(_al_u410_o),
- .d(Hirpw6),
- .e(Ufopw6),
- .o(_al_u411_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u4110 (
- .a(E05bx6),
- .b(I45bx6),
- .o(_al_u4110_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*B*~(C*A))"),
- .INIT(16'h004c))
- _al_u4111 (
- .a(_al_u4079_o),
- .b(_al_u4080_o),
- .c(_al_u4110_o),
- .d(X7abx6),
- .o(_al_u4111_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~B*~(C*~A))"),
- .INIT(16'h2300))
- _al_u4112 (
- .a(E05bx6),
- .b(G25bx6),
- .c(I45bx6),
- .d(X7abx6),
- .o(_al_u4112_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(C*~(B*~(~D*~A))))"),
- .INIT(32'h0000cf8f))
- _al_u4113 (
- .a(_al_u4112_o),
- .b(_al_u4079_o),
- .c(_al_u4080_o),
- .d(_al_u4110_o),
- .e(G25bx6),
- .o(_al_u4113_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~B*~A*~(E*~D))"),
- .INIT(32'h01000101))
- _al_u4114 (
- .a(E8uow6_lutinv),
- .b(_al_u4111_o),
- .c(_al_u4113_o),
- .d(_al_u4081_o),
- .e(_al_u4082_o),
- .o(_al_u4114_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*A))"),
- .INIT(8'h0d))
- _al_u4115 (
- .a(_al_u4081_o),
- .b(_al_u4082_o),
- .c(_al_u4083_o),
- .o(_al_u4115_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*C)*~(B*~A))"),
- .INIT(16'hbb0b))
- _al_u4116 (
- .a(_al_u4114_o),
- .b(_al_u4115_o),
- .c(_al_u4078_o),
- .d(_al_u4083_o),
- .o(Digow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("~((~C*~B)*~(A)*~(D)+(~C*~B)*A*~(D)+~((~C*~B))*A*D+(~C*~B)*A*D)"),
- .INIT(16'h55fc))
- _al_u4117 (
- .a(E8uow6_lutinv),
- .b(_al_u4111_o),
- .c(_al_u4113_o),
- .d(Digow6_lutinv),
- .o(_al_u4117_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u4118 (
- .a(_al_u4083_o),
- .b(_al_u4076_o),
- .c(_al_u4077_o),
- .o(_al_u4118_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*(~(A)*(C*~B)*~(D)+~(A)*~((C*~B))*D+~(A)*(C*~B)*D+A*(C*~B)*D))"),
- .INIT(32'h00007510))
- _al_u4119 (
- .a(_al_u4084_o),
- .b(_al_u4107_o),
- .c(_al_u4117_o),
- .d(X7uow6_lutinv),
- .e(_al_u4118_o),
- .o(_al_u4119_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*~(D*~(~C*A)))"),
- .INIT(16'hfdcc))
- _al_u412 (
- .a(_al_u407_o),
- .b(_al_u411_o),
- .c(Dg2qw6),
- .d(Nj2qw6),
- .o(E7vhu6));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B)*~((~D*~A))+C*B*~((~D*~A))+~(C)*B*(~D*~A)+C*B*(~D*~A))"),
- .INIT(16'hf0e4))
- _al_u4120 (
- .a(_al_u4119_o),
- .b(_al_u4107_o),
- .c(_al_u4117_o),
- .d(_al_u4093_o),
- .o(L9tow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4121 (
- .a(_al_u4093_o),
- .b(_al_u4118_o),
- .o(_al_u4121_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*A))"),
- .INIT(8'h0d))
- _al_u4122 (
- .a(_al_u4094_o),
- .b(_al_u4096_o),
- .c(_al_u4121_o),
- .o(_al_u4122_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u4123 (
- .a(_al_u4098_o),
- .b(L9tow6),
- .c(_al_u4122_o),
- .o(_al_u4123_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4124 (
- .a(Ot0bx6),
- .b(Xq2bx6),
- .o(_al_u4124_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(C*~((~D*B))*~(E)+~(C)*(~D*B)*~(E)+C*(~D*B)*~(E)+C*(~D*B)*E))"),
- .INIT(32'h0080a0a8))
- _al_u4125 (
- .a(_al_u4124_o),
- .b(Lfgbx6),
- .c(Slyax6),
- .d(Unyax6),
- .e(Wpyax6),
- .o(_al_u4125_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4126 (
- .a(B3gbx6),
- .b(Y0gbx6),
- .o(_al_u4126_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4127 (
- .a(_al_u4125_o),
- .b(_al_u4126_o),
- .o(_al_u4127_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u4128 (
- .a(_al_u4127_o),
- .b(Lfgbx6),
- .c(Unyax6),
- .o(S3sow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
- .INIT(16'hd4f5))
- _al_u4129 (
- .a(Mfyax6),
- .b(Ohyax6),
- .c(Qjyax6),
- .d(T3abx6),
- .o(_al_u4129_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*~A)"),
- .INIT(16'h0010))
- _al_u413 (
- .a(Htmpw6),
- .b(Iixpw6),
- .c(Vhspw6),
- .d(Vmipw6),
- .o(Voqow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4130 (
- .a(Fe2bx6),
- .b(Mp0bx6),
- .o(_al_u4130_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*C*~A))"),
- .INIT(16'h8ccc))
- _al_u4131 (
- .a(_al_u4129_o),
- .b(_al_u4130_o),
- .c(Li2bx6),
- .d(Nr0bx6),
- .o(_al_u4131_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u4132 (
- .a(_al_u4131_o),
- .b(Ohyax6),
- .c(T3abx6),
- .o(Z3sow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf340))
- _al_u4133 (
- .a(_al_u4124_o),
- .b(_al_u4126_o),
- .c(Slyax6),
- .d(Wpyax6),
- .o(V1sow6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(A*C*~((D*B))*~(E)+~(A)*~(C)*~((D*B))*E+~(A)*C*~((D*B))*E+A*C*~((D*B))*E+~(A)*~(C)*(D*B)*E+~(A)*C*(D*B)*E+A*C*(D*B)*E)"),
- .INIT(32'hf5f520a0))
- _al_u4134 (
- .a(_al_u4130_o),
- .b(Li2bx6),
- .c(Mfyax6),
- .d(Nr0bx6),
- .e(Qjyax6),
- .o(_al_u4134_o));
- AL_MAP_LUT4 #(
- .EQN("(~((B*~A))*~(C)*~(D)+~((B*~A))*C*~(D)+(B*~A)*C*~(D)+~((B*~A))*C*D)"),
- .INIT(16'hb0fb))
- _al_u4135 (
- .a(S3sow6_lutinv),
- .b(Z3sow6_lutinv),
- .c(V1sow6_lutinv),
- .d(_al_u4134_o),
- .o(_al_u4135_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u4136 (
- .a(_al_u4126_o),
- .b(Ot0bx6),
- .c(Xq2bx6),
- .o(_al_u4136_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4137 (
- .a(Fe2bx6),
- .b(Li2bx6),
- .c(Mp0bx6),
- .d(Nr0bx6),
- .o(_al_u4137_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(C)*~((~E*~(~D*~A)))+B*C*~((~E*~(~D*~A)))+~(B)*C*(~E*~(~D*~A))+B*C*(~E*~(~D*~A)))"),
- .INIT(32'hccccf0e4))
- _al_u4138 (
- .a(_al_u4135_o),
- .b(S3sow6_lutinv),
- .c(Z3sow6_lutinv),
- .d(_al_u4136_o),
- .e(_al_u4137_o),
- .o(_al_u4138_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
- .INIT(16'hd4f5))
- _al_u4139 (
- .a(H4zax6),
- .b(J6zax6),
- .c(L8zax6),
- .d(V5abx6),
- .o(_al_u4139_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u414 (
- .a(Htmpw6),
- .b(Iixpw6),
- .c(Vhspw6),
- .d(Vmipw6),
- .o(Kmqow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4140 (
- .a(Ih0bx6),
- .b(Jx1bx6),
- .o(_al_u4140_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*C*~A))"),
- .INIT(16'h8ccc))
- _al_u4141 (
- .a(_al_u4139_o),
- .b(_al_u4140_o),
- .c(Dt1bx6),
- .d(Jj0bx6),
- .o(_al_u4141_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u4142 (
- .a(_al_u4141_o),
- .b(J6zax6),
- .c(V5abx6),
- .o(L3sow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~((~C*B))*~(D)+~(A)*~((~C*B))*D+A*~((~C*B))*D+~(A)*(~C*B)*D)"),
- .INIT(16'hf751))
- _al_u4143 (
- .a(Nazax6),
- .b(Nhgbx6),
- .c(Pczax6),
- .d(Rezax6),
- .o(Vfsow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4144 (
- .a(Ln0bx6),
- .b(V52bx6),
- .o(_al_u4144_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4145 (
- .a(Kl0bx6),
- .b(P12bx6),
- .o(_al_u4145_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u4146 (
- .a(Vfsow6_lutinv),
- .b(_al_u4144_o),
- .c(_al_u4145_o),
- .o(_al_u4146_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u4147 (
- .a(_al_u4146_o),
- .b(Nhgbx6),
- .c(Pczax6),
- .o(E3sow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4148 (
- .a(_al_u4144_o),
- .b(_al_u4145_o),
- .o(_al_u4148_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf340))
- _al_u4149 (
- .a(_al_u4144_o),
- .b(_al_u4145_o),
- .c(Nazax6),
- .d(Rezax6),
- .o(_al_u4149_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u415 (
- .a(Voqow6),
- .b(Kmqow6),
- .c(vis_r6_o[0]),
- .d(vis_r1_o[0]),
- .o(Q53pw6));
- AL_MAP_LUT5 #(
- .EQN("(A*C*~((D*B))*~(E)+~(A)*~(C)*~((D*B))*E+~(A)*C*~((D*B))*E+A*C*~((D*B))*E+~(A)*~(C)*(D*B)*E+~(A)*C*(D*B)*E+A*C*(D*B)*E)"),
- .INIT(32'hf5f520a0))
- _al_u4150 (
- .a(_al_u4140_o),
- .b(Dt1bx6),
- .c(H4zax6),
- .d(Jj0bx6),
- .e(L8zax6),
- .o(J2sow6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(~C*((~B*A)*~(D)*~(E)+~((~B*A))*~(D)*E+(~B*A)*~(D)*E+(~B*A)*D*E))"),
- .INIT(32'h020f0002))
- _al_u4151 (
- .a(L3sow6_lutinv),
- .b(E3sow6_lutinv),
- .c(_al_u4148_o),
- .d(_al_u4149_o),
- .e(J2sow6_lutinv),
- .o(_al_u4151_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4152 (
- .a(Dt1bx6),
- .b(Ih0bx6),
- .c(Jj0bx6),
- .d(Jx1bx6),
- .o(_al_u4152_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(B)*~((~D*~A))+C*B*~((~D*~A))+~(C)*B*(~D*~A)+C*B*(~D*~A))"),
- .INIT(16'h0f1b))
- _al_u4153 (
- .a(_al_u4151_o),
- .b(L3sow6_lutinv),
- .c(E3sow6_lutinv),
- .d(_al_u4152_o),
- .o(_al_u4153_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'haae0))
- _al_u4154 (
- .a(V1sow6_lutinv),
- .b(_al_u4136_o),
- .c(_al_u4134_o),
- .d(_al_u4137_o),
- .o(_al_u4154_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcce0))
- _al_u4155 (
- .a(_al_u4148_o),
- .b(_al_u4149_o),
- .c(J2sow6_lutinv),
- .d(_al_u4152_o),
- .o(Gxrow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4156 (
- .a(_al_u4136_o),
- .b(_al_u4137_o),
- .o(_al_u4156_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*A))"),
- .INIT(8'h0d))
- _al_u4157 (
- .a(_al_u4154_o),
- .b(Gxrow6_lutinv),
- .c(_al_u4156_o),
- .o(_al_u4157_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4158 (
- .a(_al_u4154_o),
- .b(Gxrow6_lutinv),
- .o(_al_u4158_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u4159 (
- .a(_al_u4152_o),
- .b(_al_u4144_o),
- .c(_al_u4145_o),
- .o(_al_u4159_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*A)"),
- .INIT(16'h0020))
- _al_u416 (
- .a(Htmpw6),
- .b(Iixpw6),
- .c(Vhspw6),
- .d(Vmipw6),
- .o(Fnqow6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+A*~(B)*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'haaaaa323))
- _al_u4160 (
- .a(_al_u4138_o),
- .b(_al_u4153_o),
- .c(_al_u4157_o),
- .d(_al_u4158_o),
- .e(_al_u4159_o),
- .o(Xvrow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4161 (
- .a(Bc3bx6),
- .b(Kojpw6),
- .o(_al_u4161_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(C*~((~D*B))*~(E)+~(C)*(~D*B)*~(E)+C*(~D*B)*~(E)+C*(~D*B)*E))"),
- .INIT(32'h0080a0a8))
- _al_u4162 (
- .a(_al_u4161_o),
- .b(Pz9bx6),
- .c(Sn4bx6),
- .d(Up4bx6),
- .e(Wr4bx6),
- .o(_al_u4162_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4163 (
- .a(Usipw6),
- .b(V73bx6),
- .o(_al_u4163_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4164 (
- .a(_al_u4162_o),
- .b(_al_u4163_o),
- .o(_al_u4164_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u4165 (
- .a(_al_u4164_o),
- .b(Pz9bx6),
- .c(Up4bx6),
- .o(Tzsow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf340))
- _al_u4166 (
- .a(_al_u4161_o),
- .b(_al_u4163_o),
- .c(Sn4bx6),
- .d(Wr4bx6),
- .o(_al_u4166_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4167 (
- .a(Hg3bx6),
- .b(S0kbx6),
- .o(_al_u4167_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u4168 (
- .a(_al_u4167_o),
- .b(Rz0bx6),
- .c(Tcipw6),
- .o(Tmrow6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*~((D*C))*~(E)+A*B*~((D*C))*~(E)+~(A)*B*~((D*C))*E+A*B*~((D*C))*E+~(A)*~(B)*(D*C)*E+~(A)*B*(D*C)*E+A*B*(D*C)*E)"),
- .INIT(32'hdccc0ccc))
- _al_u4169 (
- .a(_al_u4167_o),
- .b(Cy4bx6),
- .c(Rz0bx6),
- .d(Tcipw6),
- .e(Yt4bx6),
- .o(_al_u4169_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u417 (
- .a(Htmpw6),
- .b(Iixpw6),
- .c(Vhspw6),
- .d(Vmipw6),
- .o(Mnqow6));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C*~A))"),
- .INIT(8'h23))
- _al_u4170 (
- .a(_al_u4166_o),
- .b(Tmrow6),
- .c(_al_u4169_o),
- .o(_al_u4170_o));
- AL_MAP_LUT4 #(
- .EQN("(~(B)*~((C*~A))*~(D)+B*~((C*~A))*~(D)+B*(C*~A)*~(D)+B*~((C*~A))*D)"),
- .INIT(16'h8cef))
- _al_u4171 (
- .a(Aw4bx6),
- .b(Cy4bx6),
- .c(Hbgbx6),
- .d(Yt4bx6),
- .o(_al_u4171_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~(B*~A))"),
- .INIT(16'hb000))
- _al_u4172 (
- .a(_al_u4171_o),
- .b(_al_u4167_o),
- .c(Rz0bx6),
- .d(Tcipw6),
- .o(_al_u4172_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u4173 (
- .a(_al_u4172_o),
- .b(Aw4bx6),
- .c(Hbgbx6),
- .o(Fzsow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u4174 (
- .a(_al_u4166_o),
- .b(_al_u4169_o),
- .o(_al_u4174_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4175 (
- .a(_al_u4161_o),
- .b(_al_u4163_o),
- .o(_al_u4175_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hf0f0e2a2))
- _al_u4176 (
- .a(Tzsow6_lutinv),
- .b(_al_u4170_o),
- .c(Fzsow6_lutinv),
- .d(_al_u4174_o),
- .e(_al_u4175_o),
- .o(Rksow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4177 (
- .a(P33bx6),
- .b(Qx0bx6),
- .o(Amsow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4178 (
- .a(Jz2bx6),
- .b(X5upw6),
- .o(_al_u4178_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4179 (
- .a(Amsow6),
- .b(_al_u4178_o),
- .o(_al_u4179_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u418 (
- .a(Fnqow6),
- .b(Mnqow6),
- .c(vis_r4_o[0]),
- .d(vis_r5_o[0]),
- .o(Y23pw6));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf340))
- _al_u4180 (
- .a(Amsow6),
- .b(_al_u4178_o),
- .c(C14bx6),
- .d(G54bx6),
- .o(_al_u4180_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4181 (
- .a(Pv0bx6),
- .b(Rm2bx6),
- .o(Qusow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4182 (
- .a(Dv2bx6),
- .b(Oxkpw6),
- .o(_al_u4182_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hf430))
- _al_u4183 (
- .a(Qusow6),
- .b(_al_u4182_o),
- .c(Az3bx6),
- .d(Wu3bx6),
- .o(Atsow6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(~C*B))"),
- .INIT(8'h51))
- _al_u4184 (
- .a(_al_u4179_o),
- .b(_al_u4180_o),
- .c(Atsow6_lutinv),
- .o(_al_u4184_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
- .INIT(16'hd4f5))
- _al_u4185 (
- .a(C14bx6),
- .b(E34bx6),
- .c(G54bx6),
- .d(Jdgbx6),
- .o(_al_u4185_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u4186 (
- .a(_al_u4185_o),
- .b(Amsow6),
- .c(_al_u4178_o),
- .o(_al_u4186_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u4187 (
- .a(_al_u4186_o),
- .b(E34bx6),
- .c(Jdgbx6),
- .o(Pqsow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(C)*~((~D*B))+A*~(C)*~((~D*B))+A*C*~((~D*B))+A*~(C)*(~D*B))"),
- .INIT(16'haf2b))
- _al_u4188 (
- .a(Az3bx6),
- .b(R1abx6),
- .c(Wu3bx6),
- .d(Yw3bx6),
- .o(_al_u4188_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u4189 (
- .a(_al_u4188_o),
- .b(Qusow6),
- .c(_al_u4182_o),
- .o(Odgow6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*~A)"),
- .INIT(16'h0004))
- _al_u419 (
- .a(Htmpw6),
- .b(Iixpw6),
- .c(Vhspw6),
- .d(Vmipw6),
- .o(Dmqow6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u4190 (
- .a(Odgow6),
- .b(R1abx6),
- .c(Yw3bx6),
- .o(Iqsow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4191 (
- .a(_al_u4180_o),
- .b(Atsow6_lutinv),
- .o(_al_u4191_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(B*A))"),
- .INIT(16'h0777))
- _al_u4192 (
- .a(Dv2bx6),
- .b(Oxkpw6),
- .c(Pv0bx6),
- .d(Rm2bx6),
- .o(_al_u4192_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h3333272f))
- _al_u4193 (
- .a(_al_u4184_o),
- .b(Pqsow6_lutinv),
- .c(Iqsow6_lutinv),
- .d(_al_u4191_o),
- .e(_al_u4192_o),
- .o(_al_u4193_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hee40))
- _al_u4194 (
- .a(_al_u4175_o),
- .b(_al_u4166_o),
- .c(Tmrow6),
- .d(_al_u4169_o),
- .o(_al_u4194_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hcce0))
- _al_u4195 (
- .a(_al_u4179_o),
- .b(_al_u4180_o),
- .c(Atsow6_lutinv),
- .d(_al_u4192_o),
- .o(Losow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(~B*A))"),
- .INIT(16'h0ddd))
- _al_u4196 (
- .a(_al_u4194_o),
- .b(Losow6_lutinv),
- .c(_al_u4175_o),
- .d(Tmrow6),
- .o(_al_u4196_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4197 (
- .a(_al_u4194_o),
- .b(Losow6_lutinv),
- .o(_al_u4197_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u4198 (
- .a(_al_u4192_o),
- .b(Amsow6),
- .c(_al_u4178_o),
- .o(_al_u4198_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+A*~(B)*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+A*~(B)*C*D*E+A*B*C*D*E)"),
- .INIT(32'haaaaa323))
- _al_u4199 (
- .a(Rksow6_lutinv),
- .b(_al_u4193_o),
- .c(_al_u4196_o),
- .d(_al_u4197_o),
- .e(_al_u4198_o),
- .o(Mtrow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u42 (
- .a(Iqzhu6_lutinv),
- .b(Q89bx6),
- .o(Xi4iu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u420 (
- .a(Htmpw6),
- .b(Iixpw6),
- .c(Vhspw6),
- .d(Vmipw6),
- .o(Cpqow6));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~((E*D))+A*~(B)*~(C)*~((E*D))+~(A)*B*~(C)*~((E*D))+~(A)*~(B)*C*~((E*D))+~(A)*B*C*~((E*D))+~(A)*~(B)*~(C)*(E*D)+A*~(B)*~(C)*(E*D)+~(A)*~(B)*C*(E*D)+~(A)*B*C*(E*D))"),
- .INIT(32'h53575757))
- _al_u4200 (
- .a(_al_u4194_o),
- .b(Losow6_lutinv),
- .c(_al_u4198_o),
- .d(_al_u4175_o),
- .e(Tmrow6),
- .o(Gqrow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
- .INIT(16'haac8))
- _al_u4201 (
- .a(_al_u4154_o),
- .b(Gxrow6_lutinv),
- .c(_al_u4156_o),
- .d(_al_u4159_o),
- .o(_al_u4201_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4202 (
- .a(Gqrow6_lutinv),
- .b(_al_u4201_o),
- .o(_al_u4202_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4203 (
- .a(_al_u4156_o),
- .b(_al_u4159_o),
- .o(_al_u4203_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u4204 (
- .a(_al_u4198_o),
- .b(_al_u4175_o),
- .c(Tmrow6),
- .o(_al_u4204_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(~D*~(~B*~A)))"),
- .INIT(16'h0f01))
- _al_u4205 (
- .a(Gqrow6_lutinv),
- .b(_al_u4201_o),
- .c(_al_u4203_o),
- .d(_al_u4204_o),
- .o(_al_u4205_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*B*~(D)*~((~E*~C))+A*B*~(D)*~((~E*~C))+A*~(B)*D*~((~E*~C))+A*B*D*~((~E*~C))+A*B*~(D)*(~E*~C)+A*~(B)*D*(~E*~C)+A*B*D*(~E*~C))"),
- .INIT(32'haaccaac8))
- _al_u4206 (
- .a(Xvrow6_lutinv),
- .b(Mtrow6_lutinv),
- .c(_al_u4202_o),
- .d(_al_u4205_o),
- .e(_al_u4203_o),
- .o(Hnrow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4207 (
- .a(_al_u4094_o),
- .b(_al_u4096_o),
- .o(_al_u4207_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
- .INIT(16'h5c54))
- _al_u4208 (
- .a(Gqrow6_lutinv),
- .b(_al_u4201_o),
- .c(_al_u4203_o),
- .d(_al_u4204_o),
- .o(Klrow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4209 (
- .a(_al_u4203_o),
- .b(_al_u4204_o),
- .o(_al_u4209_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u421 (
- .a(Dmqow6),
- .b(Cpqow6),
- .c(vis_r2_o[0]),
- .d(vis_r0_o[0]),
- .o(F33pw6));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*~A))"),
- .INIT(8'h0b))
- _al_u4210 (
- .a(_al_u4207_o),
- .b(Klrow6_lutinv),
- .c(_al_u4209_o),
- .o(_al_u4210_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u4211 (
- .a(_al_u4207_o),
- .b(Klrow6_lutinv),
- .o(_al_u4211_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'hca8a))
- _al_u4212 (
- .a(_al_u4123_o),
- .b(Hnrow6_lutinv),
- .c(_al_u4210_o),
- .d(_al_u4211_o),
- .o(_al_u4212_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(A*~(~C*~B)))"),
- .INIT(16'h5700))
- _al_u4213 (
- .a(_al_u4207_o),
- .b(Klrow6_lutinv),
- .c(_al_u4209_o),
- .d(Elnpw6),
- .o(_al_u4213_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~A*~(C*B))"),
- .INIT(16'h1500))
- _al_u4214 (
- .a(_al_u4213_o),
- .b(Bt2qw6),
- .c(Gr2qw6),
- .d(Zdtpw6),
- .o(_al_u4214_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4215 (
- .a(_al_u4121_o),
- .b(_al_u4097_o),
- .o(_al_u4215_o));
- AL_MAP_LUT5 #(
- .EQN("(A*B*~(C)*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hf000fac8))
- _al_u4216 (
- .a(_al_u4207_o),
- .b(Klrow6_lutinv),
- .c(_al_u4215_o),
- .d(_al_u4209_o),
- .e(Elnpw6),
- .o(_al_u4216_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
- .INIT(16'he2a2))
- _al_u4217 (
- .a(_al_u4212_o),
- .b(_al_u4214_o),
- .c(Wgipw6),
- .d(_al_u4216_o),
- .o(_al_u4217_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~B*~(C*A))"),
- .INIT(16'h1300))
- _al_u4218 (
- .a(Bt2qw6),
- .b(Elnpw6),
- .c(Gr2qw6),
- .d(Zdtpw6),
- .o(_al_u4218_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*A*~(~D*~C))"),
- .INIT(16'h2220))
- _al_u4219 (
- .a(_al_u4207_o),
- .b(_al_u4218_o),
- .c(_al_u4209_o),
- .d(Klrow6_lutinv),
- .o(_al_u4219_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*~A)"),
- .INIT(16'h0040))
- _al_u422 (
- .a(Htmpw6),
- .b(Iixpw6),
- .c(Vhspw6),
- .d(Vmipw6),
- .o(Xpqow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4220 (
- .a(_al_u4215_o),
- .b(_al_u4209_o),
- .o(_al_u4220_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(~D*A))"),
- .INIT(16'h3f15))
- _al_u4221 (
- .a(_al_u4220_o),
- .b(Bt2qw6),
- .c(Gr2qw6),
- .d(Zdtpw6),
- .o(Carow6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(E*(~(B)*~(C)*~((~D*~A))+~(B)*~(C)*(~D*~A)+B*~(C)*(~D*~A)+~(B)*C*(~D*~A)))"),
- .INIT(32'h03170000))
- _al_u4222 (
- .a(_al_u4217_o),
- .b(_al_u4219_o),
- .c(Sbrow6),
- .d(_al_u2242_o),
- .e(Carow6_lutinv),
- .o(_al_u4222_o));
- AL_MAP_LUT4 #(
- .EQN("(~(A)*~(C)*~((~D*B))+A*~(C)*~((~D*B))+A*C*~((~D*B))+A*~(C)*(~D*B))"),
- .INIT(16'haf2b))
- _al_u4223 (
- .a(Gz6ax6),
- .b(Tl4bx6),
- .c(Uj4bx6),
- .d(Vpgbx6),
- .o(_al_u4223_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u4224 (
- .a(Bt2qw6),
- .b(Gr2qw6),
- .c(Mnmpw6),
- .o(_al_u4224_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u4225 (
- .a(_al_u4223_o),
- .b(_al_u4224_o),
- .c(F17ax6),
- .o(_al_u4225_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u4226 (
- .a(_al_u4225_o),
- .b(Gz6ax6),
- .c(Uj4bx6),
- .o(Rerow6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'hd8))
- _al_u4227 (
- .a(_al_u4225_o),
- .b(Tl4bx6),
- .c(Vpgbx6),
- .o(Jhrow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(A*~(C)*~((~D*~B))+~(A)*C*~((~D*~B))+A*C*~((~D*~B))+A*C*(~D*~B))"),
- .INIT(16'hfae8))
- _al_u4228 (
- .a(Sbrow6),
- .b(_al_u2242_o),
- .c(Rerow6_lutinv),
- .d(Jhrow6_lutinv),
- .o(_al_u4228_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4229 (
- .a(F17ax6),
- .b(Mnmpw6),
- .o(_al_u4229_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u423 (
- .a(Htmpw6),
- .b(Iixpw6),
- .c(Vhspw6),
- .d(Vmipw6),
- .o(Eqqow6));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(~C*~A))"),
- .INIT(8'h32))
- _al_u4230 (
- .a(_al_u4228_o),
- .b(_al_u2244_o),
- .c(_al_u4229_o),
- .o(_al_u4230_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u4231 (
- .a(Carow6_lutinv),
- .b(_al_u4224_o),
- .c(F17ax6),
- .o(_al_u4231_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4232 (
- .a(_al_u4231_o),
- .b(B6cpw6),
- .o(_al_u4232_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u4233 (
- .a(_al_u4222_o),
- .b(_al_u4230_o),
- .c(_al_u4232_o),
- .o(_al_u4233_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~C*~(D*~B)))"),
- .INIT(16'h5150))
- _al_u4234 (
- .a(_al_u1075_o),
- .b(_al_u1063_o),
- .c(Pdyax6),
- .d(T8kbx6),
- .o(_al_u4234_o));
- AL_MAP_LUT4 #(
- .EQN("~(~D*~((~B*~A))*~(C)+~D*(~B*~A)*~(C)+~(~D)*(~B*~A)*C+~D*(~B*~A)*C)"),
- .INIT(16'hefe0))
- _al_u4235 (
- .a(_al_u4233_o),
- .b(_al_u4234_o),
- .c(HREADY),
- .d(Fnnpw6),
- .o(Puohu6));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(D*~C)*~(E*A))"),
- .INIT(32'h10113033))
- _al_u4236 (
- .a(Bo1iu6),
- .b(_al_u3002_o),
- .c(D7xiu6_lutinv),
- .d(Cjwpw6),
- .e(Dpwpw6),
- .o(_al_u4236_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4237 (
- .a(_al_u4219_o),
- .b(Rerow6_lutinv),
- .o(_al_u4237_o));
- AL_MAP_LUT4 #(
- .EQN("(B*(~(A)*C*~(D)+~(A)*~(C)*D+~(A)*C*D+A*C*D))"),
- .INIT(16'hc440))
- _al_u4238 (
- .a(_al_u4219_o),
- .b(Carow6_lutinv),
- .c(Rerow6_lutinv),
- .d(Jhrow6_lutinv),
- .o(_al_u4238_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~(C*~(~B*A)))"),
- .INIT(16'h002f))
- _al_u4239 (
- .a(_al_u4217_o),
- .b(_al_u4237_o),
- .c(_al_u4238_o),
- .d(_al_u4229_o),
- .o(_al_u4239_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u424 (
- .a(Xpqow6),
- .b(Eqqow6),
- .c(vis_r7_o[0]),
- .d(vis_r3_o[0]),
- .o(X53pw6));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*~C*~A))"),
- .INIT(16'hc8cc))
- _al_u4240 (
- .a(_al_u4212_o),
- .b(_al_u4214_o),
- .c(_al_u4216_o),
- .d(Wgipw6),
- .o(_al_u4240_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4241 (
- .a(Pdyax6),
- .b(T8kbx6),
- .o(A0fow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4242 (
- .a(_al_u4231_o),
- .b(A0fow6_lutinv),
- .o(Ydeow6));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u4243 (
- .a(_al_u4239_o),
- .b(_al_u4240_o),
- .c(Ydeow6),
- .o(_al_u4243_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(C*~(~D*~(~B*A))))"),
- .INIT(32'h00000fdf))
- _al_u4244 (
- .a(_al_u4123_o),
- .b(Hnrow6_lutinv),
- .c(_al_u4210_o),
- .d(_al_u4211_o),
- .e(_al_u4215_o),
- .o(_al_u4244_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4245 (
- .a(_al_u4243_o),
- .b(_al_u4244_o),
- .o(_al_u4245_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u4246 (
- .a(_al_u2979_o),
- .b(HALTED),
- .c(_al_u407_o),
- .o(Nntiu6));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*C)*~(D*B))"),
- .INIT(32'h01051155))
- _al_u4247 (
- .a(_al_u2276_o),
- .b(F0eow6),
- .c(M0eow6),
- .d(Ih0bx6),
- .e(Jx1bx6),
- .o(_al_u4247_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4248 (
- .a(Yvgiu6),
- .b(M6eiu6),
- .c(Ujspw6),
- .d(Wlspw6),
- .o(Saeow6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u4249 (
- .a(_al_u4247_o),
- .b(Saeow6),
- .c(Y5eiu6),
- .d(T2kbx6),
- .o(_al_u4249_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u425 (
- .a(Q53pw6),
- .b(Y23pw6),
- .c(F33pw6),
- .d(X53pw6),
- .o(N30iu6));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(D*A))"),
- .INIT(16'h40c0))
- _al_u4250 (
- .a(_al_u4245_o),
- .b(Nntiu6),
- .c(_al_u4249_o),
- .d(_al_u1937_o),
- .o(_al_u4250_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4251 (
- .a(Dw1iu6),
- .b(Zt1iu6),
- .c(Aoeax6),
- .d(Kcaax6),
- .o(_al_u4251_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u4252 (
- .a(_al_u4251_o),
- .b(Kw1iu6_lutinv),
- .c(Iv1iu6),
- .d(vis_pc_o[15]),
- .e(Ue9ax6),
- .o(_al_u4252_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4253 (
- .a(Xs1iu6),
- .b(Ar1iu6),
- .c(Chwpw6),
- .d(Hsdax6),
- .o(_al_u4253_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u4254 (
- .a(_al_u4253_o),
- .b(Vr1iu6),
- .c(Cs1iu6),
- .d(Owcax6),
- .e(V0cax6),
- .o(_al_u4254_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u4255 (
- .a(_al_u4252_o),
- .b(_al_u4254_o),
- .c(St1iu6),
- .d(HRDATA[16]),
- .o(_al_u4255_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~(C*~(D*B)))"),
- .INIT(16'h75f5))
- _al_u4256 (
- .a(_al_u4236_o),
- .b(_al_u4250_o),
- .c(Wo1iu6),
- .d(_al_u4255_o),
- .o(Maphu6));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u4257 (
- .a(Cz8iu6),
- .b(Oy8iu6),
- .c(vis_primask_o),
- .o(_al_u4257_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(D*C*~(B*~A)))"),
- .INIT(32'h00004fff))
- _al_u4258 (
- .a(_al_u4222_o),
- .b(_al_u4230_o),
- .c(_al_u4232_o),
- .d(_al_u4257_o),
- .e(_al_u4234_o),
- .o(U6piu6));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(A*~(E*~(D*B))))"),
- .INIT(32'h070f0505))
- _al_u4259 (
- .a(U6piu6),
- .b(_al_u1061_o),
- .c(Wofiu6_lutinv),
- .d(HREADY),
- .e(Vzjpw6),
- .o(Fivhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u426 (
- .a(N30iu6),
- .b(Xuzhu6),
- .o(Tgfpw6[0]));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(D*~C)*~(E*A))"),
- .INIT(32'h10113033))
- _al_u4260 (
- .a(Bo1iu6),
- .b(_al_u3002_o),
- .c(D7xiu6_lutinv),
- .d(Pdbbx6),
- .e(Yubbx6),
- .o(_al_u4260_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4261 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Dt1bx6),
- .d(Jj0bx6),
- .o(_al_u4261_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u4262 (
- .a(_al_u4261_o),
- .b(Yvgiu6),
- .c(M6eiu6),
- .d(Amupw6),
- .e(Yjupw6),
- .o(_al_u4262_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(D*~B*A))"),
- .INIT(16'hd0f0))
- _al_u4263 (
- .a(_al_u4243_o),
- .b(_al_u4244_o),
- .c(_al_u4262_o),
- .d(_al_u1937_o),
- .o(_al_u4263_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4264 (
- .a(St1iu6),
- .b(Zt1iu6),
- .c(HRDATA[17]),
- .d(Nlbbx6),
- .o(Vrtiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4265 (
- .a(Xs1iu6),
- .b(Cs1iu6),
- .c(Erbbx6),
- .d(Knbbx6),
- .o(_al_u4265_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u4266 (
- .a(Vrtiu6),
- .b(_al_u4265_o),
- .c(Kw1iu6_lutinv),
- .d(Qjbbx6),
- .o(_al_u4266_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4267 (
- .a(Dw1iu6),
- .b(Ar1iu6),
- .c(Hpbbx6),
- .d(Pbbbx6),
- .o(_al_u4267_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u4268 (
- .a(_al_u4267_o),
- .b(Iv1iu6),
- .c(Vr1iu6),
- .d(Btbbx6),
- .e(vis_pc_o[16]),
- .o(_al_u4268_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u4269 (
- .a(_al_u4263_o),
- .b(_al_u4266_o),
- .c(Nntiu6),
- .d(_al_u4268_o),
- .o(_al_u4269_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u427 (
- .a(Fnqow6),
- .b(Cpqow6),
- .c(vis_r0_o[2]),
- .d(vis_r5_o[2]),
- .o(_al_u427_o));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*~B))"),
- .INIT(8'h75))
- _al_u4270 (
- .a(_al_u4260_o),
- .b(_al_u4269_o),
- .c(Wo1iu6),
- .o(Faphu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*A))"),
- .INIT(16'h4c5f))
- _al_u4271 (
- .a(Bo1iu6),
- .b(D7xiu6_lutinv),
- .c(Ad7ax6),
- .d(Z67ax6),
- .o(Iatiu6));
- AL_MAP_LUT4 #(
- .EQN("(~((~B*A))*~(C)*~(D)+~((~B*A))*C*~(D)+(~B*A)*C*~(D)+~((~B*A))*C*D)"),
- .INIT(16'hd0fd))
- _al_u4272 (
- .a(L9tow6),
- .b(Kctow6_lutinv),
- .c(_al_u4094_o),
- .d(_al_u4096_o),
- .o(_al_u4272_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*~A))"),
- .INIT(8'h0e))
- _al_u4273 (
- .a(_al_u4272_o),
- .b(_al_u4121_o),
- .c(_al_u4097_o),
- .o(_al_u4273_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(~E*~C*~(~B*A)))"),
- .INIT(32'h00ff00f2))
- _al_u4274 (
- .a(Xvrow6_lutinv),
- .b(Mtrow6_lutinv),
- .c(_al_u4202_o),
- .d(_al_u4205_o),
- .e(_al_u4203_o),
- .o(Lprow6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B)*~(A)+~C*B*~(A)+~(~C)*B*A+~C*B*A)"),
- .INIT(8'h8d))
- _al_u4275 (
- .a(_al_u4244_o),
- .b(_al_u4273_o),
- .c(Lprow6_lutinv),
- .o(Xmmow6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(E*D*~(C*~B*~A))"),
- .INIT(32'hef000000))
- _al_u4276 (
- .a(_al_u4239_o),
- .b(_al_u4240_o),
- .c(Xmmow6_lutinv),
- .d(Ydeow6),
- .e(_al_u1937_o),
- .o(_al_u4276_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4277 (
- .a(C0fiu6),
- .b(Xrgiu6),
- .c(F17ax6),
- .d(Wr4bx6),
- .o(Dqmow6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u4278 (
- .a(Dqmow6),
- .b(S1fiu6),
- .c(Q0fiu6),
- .d(Az3bx6),
- .e(L8zax6),
- .o(_al_u4278_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4279 (
- .a(G2fiu6),
- .b(Yvgiu6),
- .c(I45bx6),
- .d(Nbxax6),
- .o(_al_u4279_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u428 (
- .a(Voqow6),
- .b(Eqqow6),
- .c(vis_r7_o[2]),
- .d(vis_r1_o[2]),
- .o(_al_u428_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u4280 (
- .a(_al_u4278_o),
- .b(_al_u4279_o),
- .c(E1fiu6),
- .d(Qjyax6),
- .o(_al_u4280_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4281 (
- .a(M6eiu6),
- .b(U2fiu6),
- .c(L9xax6),
- .d(Mb4bx6),
- .o(_al_u4281_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u4282 (
- .a(_al_u4281_o),
- .b(Tzdiu6),
- .c(I3fiu6),
- .d(Wmzax6),
- .e(Yryax6),
- .o(_al_u4282_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4283 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Hf0bx6),
- .d(Yxrpw6),
- .o(_al_u4283_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u4284 (
- .a(_al_u4280_o),
- .b(_al_u4282_o),
- .c(_al_u3012_o),
- .d(_al_u4283_o),
- .o(_al_u4284_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4285 (
- .a(_al_u4276_o),
- .b(_al_u4284_o),
- .o(_al_u4285_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4286 (
- .a(Dw1iu6),
- .b(Cs1iu6),
- .c(S2cax6),
- .d(Xpeax6),
- .o(_al_u4286_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u4287 (
- .a(_al_u4286_o),
- .b(Kw1iu6_lutinv),
- .c(Xs1iu6),
- .d(Eudax6),
- .e(Rg9ax6),
- .o(_al_u4287_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4288 (
- .a(Vr1iu6),
- .b(Ar1iu6),
- .c(Lycax6),
- .d(Z47ax6),
- .o(_al_u4288_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u4289 (
- .a(_al_u4288_o),
- .b(Iv1iu6),
- .c(Zt1iu6),
- .d(vis_pc_o[14]),
- .e(Heaax6),
- .o(_al_u4289_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u429 (
- .a(Xpqow6),
- .b(Mnqow6),
- .c(vis_r4_o[2]),
- .d(vis_r3_o[2]),
- .o(_al_u429_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u4290 (
- .a(Uvsiu6),
- .b(_al_u4287_o),
- .c(_al_u4289_o),
- .d(St1iu6),
- .e(HRDATA[15]),
- .o(_al_u4290_o));
- AL_MAP_LUT5 #(
- .EQN("~(B*~A*~(C*~(E*D)))"),
- .INIT(32'hbbfbfbfb))
- _al_u4291 (
- .a(_al_u3003_o),
- .b(Iatiu6),
- .c(Wo1iu6),
- .d(_al_u4285_o),
- .e(_al_u4290_o),
- .o(Taphu6));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u4292 (
- .a(Uzaiu6),
- .b(_al_u145_o),
- .c(Owoiu6),
- .o(_al_u4292_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*(~C*~B)*D*~(E)+~(A)*~((~C*~B))*~(D)*E+A*~((~C*~B))*~(D)*E+~(A)*(~C*~B)*~(D)*E+A*(~C*~B)*~(D)*E+~(A)*(~C*~B)*D*E+A*(~C*~B)*D*E)"),
- .INIT(32'h03ff0100))
- _al_u4293 (
- .a(U6piu6),
- .b(_al_u4292_o),
- .c(Wofiu6_lutinv),
- .d(HREADY),
- .e(Bciax6),
- .o(P2vhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4294 (
- .a(Bo1iu6),
- .b(D7xiu6_lutinv),
- .c(Sd8ax6),
- .d(Yvabx6),
- .o(P3tiu6));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(C*~(~D*~(~B*~A))))"),
- .INIT(32'h00000fef))
- _al_u4295 (
- .a(_al_u4138_o),
- .b(_al_u4153_o),
- .c(_al_u4157_o),
- .d(_al_u4158_o),
- .e(_al_u4159_o),
- .o(_al_u4295_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(C*~(~D*~(~B*~A))))"),
- .INIT(32'h00000fef))
- _al_u4296 (
- .a(Rksow6_lutinv),
- .b(_al_u4193_o),
- .c(_al_u4196_o),
- .d(_al_u4197_o),
- .e(_al_u4198_o),
- .o(_al_u4296_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u4297 (
- .a(Lprow6_lutinv),
- .b(_al_u4295_o),
- .c(_al_u4296_o),
- .o(_al_u4297_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4298 (
- .a(_al_u4119_o),
- .b(_al_u4093_o),
- .o(_al_u4298_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(B*~(~A*~(~D*C))))"),
- .INIT(32'h00007737))
- _al_u4299 (
- .a(_al_u4061_o),
- .b(_al_u4063_o),
- .c(_al_u4072_o),
- .d(Q8tow6_lutinv),
- .e(_al_u4074_o),
- .o(_al_u4299_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u43 (
- .a(Iqzhu6_lutinv),
- .b(O1mpw6),
- .o(Gk4iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u430 (
- .a(Kmqow6),
- .b(Dmqow6),
- .c(vis_r2_o[2]),
- .d(vis_r6_o[2]),
- .o(_al_u430_o));
- AL_MAP_LUT5 #(
- .EQN("~(~C*~((D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B))*~(A)+~C*(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)*~(A)+~(~C)*(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)*A+~C*(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)*A)"),
- .INIT(32'h5072d8fa))
- _al_u4300 (
- .a(_al_u4244_o),
- .b(_al_u4273_o),
- .c(_al_u4297_o),
- .d(_al_u4298_o),
- .e(_al_u4299_o),
- .o(_al_u4300_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4301 (
- .a(_al_u4240_o),
- .b(_al_u4300_o),
- .o(_al_u4301_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(D)*~(B)+A*D*~(B)+~(A)*D*B+A*D*B))"),
- .INIT(16'h10d0))
- _al_u4302 (
- .a(_al_u4301_o),
- .b(_al_u4239_o),
- .c(Ydeow6),
- .d(_al_u4225_o),
- .o(_al_u4302_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4303 (
- .a(Dw1iu6),
- .b(Cs1iu6),
- .c(Hqabx6),
- .d(Koabx6),
- .o(_al_u4303_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u4304 (
- .a(_al_u4303_o),
- .b(Kw1iu6_lutinv),
- .c(Xs1iu6),
- .d(Esabx6),
- .e(Qkabx6),
- .o(_al_u4304_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4305 (
- .a(Zt1iu6),
- .b(Ar1iu6),
- .c(Nmabx6),
- .d(Sb8ax6),
- .o(_al_u4305_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u4306 (
- .a(_al_u4305_o),
- .b(Iv1iu6),
- .c(Vr1iu6),
- .d(Buabx6),
- .e(vis_pc_o[13]),
- .o(_al_u4306_o));
- AL_MAP_LUT5 #(
- .EQN("(C*B*A*~(E*D))"),
- .INIT(32'h00808080))
- _al_u4307 (
- .a(Uvsiu6),
- .b(_al_u4304_o),
- .c(_al_u4306_o),
- .d(St1iu6),
- .e(HRDATA[14]),
- .o(_al_u4307_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4308 (
- .a(Tzdiu6),
- .b(U2fiu6),
- .c(K94bx6),
- .d(Xozax6),
- .o(_al_u4308_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u4309 (
- .a(_al_u3012_o),
- .b(_al_u4308_o),
- .c(E1fiu6),
- .d(Ohyax6),
- .o(_al_u4309_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u431 (
- .a(_al_u427_o),
- .b(_al_u428_o),
- .c(_al_u429_o),
- .d(_al_u430_o),
- .o(Pxzhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4310 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Gd0bx6),
- .d(Xo1bx6),
- .o(_al_u4310_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4311 (
- .a(G2fiu6),
- .b(M6eiu6),
- .c(G25bx6),
- .d(Pdxax6),
- .o(Bclow6));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u4312 (
- .a(_al_u4310_o),
- .b(Bclow6),
- .c(Q0fiu6),
- .d(Yw3bx6),
- .o(_al_u4312_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4313 (
- .a(C0fiu6),
- .b(Yvgiu6),
- .c(Rfxax6),
- .d(Up4bx6),
- .o(_al_u4313_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4314 (
- .a(S1fiu6),
- .b(I3fiu6),
- .c(J6zax6),
- .d(Vbspw6),
- .o(_al_u4314_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u4315 (
- .a(_al_u4309_o),
- .b(_al_u4312_o),
- .c(_al_u4313_o),
- .d(_al_u4314_o),
- .o(_al_u4315_o));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(D*A))"),
- .INIT(16'h40c0))
- _al_u4316 (
- .a(_al_u4302_o),
- .b(_al_u4307_o),
- .c(_al_u4315_o),
- .d(_al_u1937_o),
- .o(_al_u4316_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(~D*C))"),
- .INIT(16'hbbfb))
- _al_u4317 (
- .a(_al_u3003_o),
- .b(P3tiu6),
- .c(Wo1iu6),
- .d(_al_u4316_o),
- .o(Abphu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4318 (
- .a(Bo1iu6),
- .b(D7xiu6_lutinv),
- .c(Ggabx6),
- .d(Kl8ax6),
- .o(Wwsiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4319 (
- .a(_al_u4239_o),
- .b(_al_u4240_o),
- .o(_al_u4319_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u432 (
- .a(Pxzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[2]));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B)*~(A)+~C*B*~(A)+~(~C)*B*A+~C*B*A)"),
- .INIT(8'h8d))
- _al_u4320 (
- .a(_al_u4298_o),
- .b(_al_u4106_o),
- .c(Digow6_lutinv),
- .o(N3fow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*~C*B))"),
- .INIT(16'haaa2))
- _al_u4321 (
- .a(_al_u4065_o),
- .b(Altow6_lutinv),
- .c(Tktow6_lutinv),
- .d(_al_u4064_o),
- .o(_al_u4321_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~((E*~(D)*~(C)+E*D*~(C)+~(E)*D*C+E*D*C))*~(A)+B*(E*~(D)*~(C)+E*D*~(C)+~(E)*D*C+E*D*C)*~(A)+~(B)*(E*~(D)*~(C)+E*D*~(C)+~(E)*D*C+E*D*C)*A+B*(E*~(D)*~(C)+E*D*~(C)+~(E)*D*C+E*D*C)*A)"),
- .INIT(32'hee4ee444))
- _al_u4322 (
- .a(_al_u4273_o),
- .b(N3fow6_lutinv),
- .c(_al_u4299_o),
- .d(_al_u4321_o),
- .e(_al_u4053_o),
- .o(X1fow6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4323 (
- .a(X1fow6),
- .b(_al_u4244_o),
- .o(_al_u4323_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*~A))"),
- .INIT(8'h0e))
- _al_u4324 (
- .a(_al_u4135_o),
- .b(_al_u4136_o),
- .c(_al_u4137_o),
- .o(M6fow6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4325 (
- .a(_al_u4151_o),
- .b(_al_u4152_o),
- .o(_al_u4325_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u4326 (
- .a(_al_u4295_o),
- .b(M6fow6),
- .c(_al_u4325_o),
- .o(_al_u4326_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(A*~(~D*~(C*~B))))"),
- .INIT(32'h000055df))
- _al_u4327 (
- .a(_al_u4184_o),
- .b(Pqsow6_lutinv),
- .c(Iqsow6_lutinv),
- .d(_al_u4191_o),
- .e(_al_u4192_o),
- .o(_al_u4327_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(B*~(~D*~(~C*A))))"),
- .INIT(32'h000033f7))
- _al_u4328 (
- .a(Tzsow6_lutinv),
- .b(_al_u4170_o),
- .c(Fzsow6_lutinv),
- .d(_al_u4174_o),
- .e(_al_u4175_o),
- .o(_al_u4328_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u4329 (
- .a(_al_u4296_o),
- .b(_al_u4327_o),
- .c(_al_u4328_o),
- .o(_al_u4329_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u433 (
- .a(Kmqow6),
- .b(Cpqow6),
- .c(vis_r6_o[10]),
- .d(vis_r0_o[10]),
- .o(_al_u433_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
- .INIT(16'h5410))
- _al_u4330 (
- .a(_al_u4244_o),
- .b(Lprow6_lutinv),
- .c(_al_u4326_o),
- .d(_al_u4329_o),
- .o(_al_u4330_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~D*~(~C*~B*A)))"),
- .INIT(32'hff020000))
- _al_u4331 (
- .a(_al_u4319_o),
- .b(_al_u4323_o),
- .c(_al_u4330_o),
- .d(_al_u4231_o),
- .e(A0fow6_lutinv),
- .o(_al_u4331_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4332 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Fb0bx6),
- .d(Rk1bx6),
- .o(_al_u4332_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u4333 (
- .a(_al_u4332_o),
- .b(Yvgiu6),
- .c(M6eiu6),
- .d(R7kpw6),
- .e(T9kpw6),
- .o(_al_u4333_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u4334 (
- .a(_al_u4331_o),
- .b(_al_u4333_o),
- .c(_al_u1937_o),
- .o(_al_u4334_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4335 (
- .a(Xs1iu6),
- .b(Vr1iu6),
- .c(Bwdax6),
- .d(I0dax6),
- .o(_al_u4335_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4336 (
- .a(Cs1iu6),
- .b(Ar1iu6),
- .c(P4cax6),
- .d(Xpxax6),
- .o(_al_u4336_o));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(D*A))"),
- .INIT(16'h40c0))
- _al_u4337 (
- .a(St1iu6),
- .b(_al_u4335_o),
- .c(_al_u4336_o),
- .d(HRDATA[13]),
- .o(_al_u4337_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4338 (
- .a(Kw1iu6_lutinv),
- .b(Iv1iu6),
- .c(vis_pc_o[12]),
- .d(Oi9ax6),
- .o(_al_u4338_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4339 (
- .a(Dw1iu6),
- .b(Zt1iu6),
- .c(Egaax6),
- .d(Ureax6),
- .o(_al_u4339_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u434 (
- .a(Dmqow6),
- .b(Fnqow6),
- .c(vis_r5_o[10]),
- .d(vis_r2_o[10]),
- .o(_al_u434_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u4340 (
- .a(Yw1iu6),
- .b(_al_u4337_o),
- .c(_al_u4338_o),
- .d(_al_u4339_o),
- .o(_al_u4340_o));
- AL_MAP_LUT5 #(
- .EQN("~(B*~A*~(D*~(E*C)))"),
- .INIT(32'hbfbbffbb))
- _al_u4341 (
- .a(_al_u3003_o),
- .b(Wwsiu6),
- .c(_al_u4334_o),
- .d(Wo1iu6),
- .e(_al_u4340_o),
- .o(Hbphu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4342 (
- .a(U6piu6),
- .b(Wofiu6_lutinv),
- .o(H2ciu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4343 (
- .a(H2ciu6_lutinv),
- .b(Vzjpw6),
- .o(A2ciu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u4344 (
- .a(_al_u2566_o),
- .b(_al_u2642_o),
- .c(n4330),
- .d(Ydopw6),
- .o(_al_u4344_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
- .INIT(16'h7f5d))
- _al_u4345 (
- .a(A2ciu6_lutinv),
- .b(_al_u4344_o),
- .c(_al_u2856_o),
- .d(L4lax6),
- .o(Wfphu6));
- AL_MAP_LUT5 #(
- .EQN("~(A*~(E*~((D*C))*~(B)+E*(D*C)*~(B)+~(E)*(D*C)*B+E*(D*C)*B))"),
- .INIT(32'hf777d555))
- _al_u4346 (
- .a(A2ciu6_lutinv),
- .b(_al_u4344_o),
- .c(_al_u2856_o),
- .d(HRDATA[15]),
- .e(W8hbx6),
- .o(Yhvhu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~C*~(E*D*B)))"),
- .INIT(32'ha8a0a0a0))
- _al_u4347 (
- .a(A2ciu6_lutinv),
- .b(R05iu6),
- .c(_al_u4344_o),
- .d(_al_u2566_o),
- .e(X7ypw6),
- .o(L0vhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4348 (
- .a(Bo1iu6),
- .b(D7xiu6_lutinv),
- .c(Ro8ax6),
- .d(Su8ax6),
- .o(Ipsiu6));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'h1b))
- _al_u4349 (
- .a(_al_u4327_o),
- .b(_al_u4186_o),
- .c(Odgow6),
- .o(_al_u4349_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u435 (
- .a(Xpqow6),
- .b(Mnqow6),
- .c(vis_r3_o[10]),
- .d(vis_r4_o[10]),
- .o(_al_u435_o));
- AL_MAP_LUT5 #(
- .EQN("(~(E*~(D)*~(C)+E*D*~(C)+~(E)*D*C+E*D*C)*~(B)*~(A)+~(E*~(D)*~(C)+E*D*~(C)+~(E)*D*C+E*D*C)*B*~(A)+~(~(E*~(D)*~(C)+E*D*~(C)+~(E)*D*C+E*D*C))*B*A+~(E*~(D)*~(C)+E*D*~(C)+~(E)*D*C+E*D*C)*B*A)"),
- .INIT(32'h88d88ddd))
- _al_u4350 (
- .a(_al_u4296_o),
- .b(_al_u4349_o),
- .c(_al_u4328_o),
- .d(_al_u4164_o),
- .e(_al_u4172_o),
- .o(U9gow6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u4351 (
- .a(M6fow6),
- .b(_al_u4127_o),
- .c(_al_u4131_o),
- .o(_al_u4351_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)"),
- .INIT(8'he4))
- _al_u4352 (
- .a(_al_u4325_o),
- .b(_al_u4146_o),
- .c(_al_u4141_o),
- .o(_al_u4352_o));
- AL_MAP_LUT5 #(
- .EQN("(~(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)*~(B)*~(A)+~(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)*B*~(A)+~(~(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C))*B*A+~(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)*B*A)"),
- .INIT(32'h888dd8dd))
- _al_u4353 (
- .a(Lprow6_lutinv),
- .b(U9gow6_lutinv),
- .c(_al_u4295_o),
- .d(_al_u4351_o),
- .e(_al_u4352_o),
- .o(N9gow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(~D*~A)))"),
- .INIT(16'h3070))
- _al_u4354 (
- .a(_al_u4112_o),
- .b(_al_u4079_o),
- .c(_al_u4080_o),
- .d(_al_u4110_o),
- .o(_al_u4354_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~((D*~C))*~(A)+B*(D*~C)*~(A)+~(B)*(D*~C)*A+B*(D*~C)*A)"),
- .INIT(16'hb1bb))
- _al_u4355 (
- .a(Digow6_lutinv),
- .b(_al_u4354_o),
- .c(_al_u4108_o),
- .d(_al_u4077_o),
- .o(_al_u4355_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~((E*~(D)*~(C)+E*D*~(C)+~(E)*D*C+E*D*C))*~(A)+~B*(E*~(D)*~(C)+E*D*~(C)+~(E)*D*C+E*D*C)*~(A)+~(~B)*(E*~(D)*~(C)+E*D*~(C)+~(E)*D*C+E*D*C)*A+~B*(E*~(D)*~(C)+E*D*~(C)+~(E)*D*C+E*D*C)*A)"),
- .INIT(32'hbb1bb111))
- _al_u4356 (
- .a(_al_u4298_o),
- .b(_al_u4355_o),
- .c(_al_u4106_o),
- .d(_al_u4100_o),
- .e(_al_u4103_o),
- .o(Lfgow6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(B*~(A*~(~C*~(~E*D))))"),
- .INIT(32'h4c4c444c))
- _al_u4357 (
- .a(_al_u4048_o),
- .b(_al_u4042_o),
- .c(_al_u4049_o),
- .d(Bcabx6),
- .e(Vbspw6),
- .o(_al_u4357_o));
- AL_MAP_LUT5 #(
- .EQN("((E*~(D*~C))*~(B)*~(A)+(E*~(D*~C))*B*~(A)+~((E*~(D*~C)))*B*A+(E*~(D*~C))*B*A)"),
- .INIT(32'hd8dd8888))
- _al_u4358 (
- .a(_al_u4053_o),
- .b(_al_u4357_o),
- .c(_al_u4051_o),
- .d(_al_u4038_o),
- .e(_al_u4039_o),
- .o(Kigow6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(B*~(A*~(~C*~(~E*D))))"),
- .INIT(32'h4c4c444c))
- _al_u4359 (
- .a(_al_u4069_o),
- .b(_al_u4055_o),
- .c(_al_u4070_o),
- .d(C5gbx6),
- .e(Uizax6),
- .o(_al_u4359_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u436 (
- .a(Voqow6),
- .b(Eqqow6),
- .c(vis_r1_o[10]),
- .d(vis_r7_o[10]),
- .o(_al_u436_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~((D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C))*~(A)+B*(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)*~(A)+~(B)*(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)*A+B*(D*~(E)*~(C)+D*E*~(C)+~(D)*E*C+D*E*C)*A)"),
- .INIT(32'heee44e44))
- _al_u4360 (
- .a(_al_u4299_o),
- .b(Kigow6_lutinv),
- .c(_al_u4321_o),
- .d(_al_u4359_o),
- .e(_al_u4067_o),
- .o(Efgow6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(~C*~((D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B))*~(A)+~C*(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)*~(A)+~(~C)*(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)*A+~C*(D*~(E)*~(B)+D*E*~(B)+~(D)*E*B+D*E*B)*A)"),
- .INIT(32'haf8d2705))
- _al_u4361 (
- .a(_al_u4244_o),
- .b(_al_u4273_o),
- .c(N9gow6_lutinv),
- .d(Lfgow6_lutinv),
- .e(Efgow6_lutinv),
- .o(_al_u4361_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u4362 (
- .a(_al_u4239_o),
- .b(_al_u4240_o),
- .c(_al_u4361_o),
- .o(_al_u4362_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~D*~(C*~(~B*A)))"),
- .INIT(32'h002f0000))
- _al_u4363 (
- .a(_al_u4217_o),
- .b(_al_u4237_o),
- .c(_al_u4238_o),
- .d(_al_u4225_o),
- .e(Mnmpw6),
- .o(_al_u4363_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(~E*~(~C*~B*~A)))"),
- .INIT(32'h00ff0001))
- _al_u4364 (
- .a(_al_u4362_o),
- .b(_al_u4363_o),
- .c(_al_u4231_o),
- .d(Pdyax6),
- .e(T8kbx6),
- .o(_al_u4364_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4365 (
- .a(Iv1iu6),
- .b(Ar1iu6),
- .c(Dm6bx6),
- .d(vis_pc_o[11]),
- .o(_al_u4365_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4366 (
- .a(Vr1iu6),
- .b(Zt1iu6),
- .c(Biaax6),
- .d(F2dax6),
- .o(_al_u4366_o));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(D*A))"),
- .INIT(16'h40c0))
- _al_u4367 (
- .a(St1iu6),
- .b(_al_u4365_o),
- .c(_al_u4366_o),
- .d(HRDATA[12]),
- .o(_al_u4367_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4368 (
- .a(Kw1iu6_lutinv),
- .b(Dw1iu6),
- .c(Lk9ax6),
- .d(Rteax6),
- .o(_al_u4368_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4369 (
- .a(Xs1iu6),
- .b(Cs1iu6),
- .c(M6cax6),
- .d(Yxdax6),
- .o(_al_u4369_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u437 (
- .a(_al_u433_o),
- .b(_al_u434_o),
- .c(_al_u435_o),
- .d(_al_u436_o),
- .o(G30iu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u4370 (
- .a(_al_u2981_o),
- .b(_al_u4367_o),
- .c(_al_u4368_o),
- .d(_al_u4369_o),
- .o(_al_u4370_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4371 (
- .a(F0eow6),
- .b(M0eow6),
- .c(E90bx6),
- .d(Z71bx6),
- .o(A6gow6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u4372 (
- .a(A6gow6),
- .b(Yvgiu6),
- .c(M6eiu6),
- .d(Tyipw6),
- .e(V0jpw6),
- .o(_al_u4372_o));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(D*A))"),
- .INIT(16'h40c0))
- _al_u4373 (
- .a(_al_u4364_o),
- .b(_al_u4370_o),
- .c(_al_u4372_o),
- .d(_al_u1937_o),
- .o(_al_u4373_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~A*~(D*~C))"),
- .INIT(16'hbfbb))
- _al_u4374 (
- .a(_al_u3003_o),
- .b(Ipsiu6),
- .c(_al_u4373_o),
- .d(Wo1iu6),
- .o(Obphu6));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
- .INIT(16'h2a08))
- _al_u4375 (
- .a(A2ciu6_lutinv),
- .b(_al_u4344_o),
- .c(_al_u2852_o),
- .d(E6iax6),
- .o(H5vhu6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u4376 (
- .a(A2ciu6_lutinv),
- .b(_al_u4344_o),
- .c(_al_u2856_o),
- .o(Mnbiu6));
- AL_MAP_LUT5 #(
- .EQN("~(~(D*~(~E*C))*~(B)*~(A)+~(D*~(~E*C))*B*~(A)+~(~(D*~(~E*C)))*B*A+~(D*~(~E*C))*B*A)"),
- .INIT(32'h77222722))
- _al_u4377 (
- .a(H2ciu6_lutinv),
- .b(_al_u4331_o),
- .c(_al_u4344_o),
- .d(T5mpw6),
- .e(Vzjpw6),
- .o(_al_u4377_o));
- AL_MAP_LUT3 #(
- .EQN("~(~B*~(C*A))"),
- .INIT(8'hec))
- _al_u4378 (
- .a(Mnbiu6),
- .b(_al_u4377_o),
- .c(HRDATA[1]),
- .o(Z0vhu6));
- AL_MAP_LUT5 #(
- .EQN("((D*~(~E*C))*~(B)*~(A)+(D*~(~E*C))*B*~(A)+~((D*~(~E*C)))*B*A+(D*~(~E*C))*B*A)"),
- .INIT(32'hdd888d88))
- _al_u4379 (
- .a(H2ciu6_lutinv),
- .b(_al_u4302_o),
- .c(_al_u4344_o),
- .d(Jpmpw6),
- .e(Vzjpw6),
- .o(_al_u4379_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u438 (
- .a(G30iu6),
- .b(Xuzhu6),
- .o(Tgfpw6[10]));
- AL_MAP_LUT3 #(
- .EQN("~(~B*~(C*A))"),
- .INIT(8'hec))
- _al_u4380 (
- .a(Mnbiu6),
- .b(_al_u4379_o),
- .c(HRDATA[2]),
- .o(N1vhu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u4381 (
- .a(_al_u4319_o),
- .b(Xmmow6_lutinv),
- .c(Ydeow6),
- .o(_al_u4381_o));
- AL_MAP_LUT5 #(
- .EQN("((E*~(~D*C))*~(B)*~(A)+(E*~(~D*C))*B*~(A)+~((E*~(~D*C)))*B*A+(E*~(~D*C))*B*A)"),
- .INIT(32'hdd8d8888))
- _al_u4382 (
- .a(H2ciu6_lutinv),
- .b(_al_u4381_o),
- .c(_al_u4344_o),
- .d(Vzjpw6),
- .e(Xiipw6),
- .o(_al_u4382_o));
- AL_MAP_LUT3 #(
- .EQN("~(~B*~(C*A))"),
- .INIT(8'hec))
- _al_u4383 (
- .a(Mnbiu6),
- .b(_al_u4382_o),
- .c(HRDATA[3]),
- .o(U1vhu6));
- AL_MAP_LUT5 #(
- .EQN("((D*~(~E*C))*~(A)*~(B)+(D*~(~E*C))*A*~(B)+~((D*~(~E*C)))*A*B+(D*~(~E*C))*A*B)"),
- .INIT(32'hbb888b88))
- _al_u4384 (
- .a(_al_u4245_o),
- .b(H2ciu6_lutinv),
- .c(_al_u4344_o),
- .d(G2iax6),
- .e(Vzjpw6),
- .o(_al_u4384_o));
- AL_MAP_LUT3 #(
- .EQN("~(~B*~(C*A))"),
- .INIT(8'hec))
- _al_u4385 (
- .a(Mnbiu6),
- .b(_al_u4384_o),
- .c(HRDATA[4]),
- .o(B2vhu6));
- AL_MAP_LUT3 #(
- .EQN("(B*~(~C*A))"),
- .INIT(8'hc4))
- _al_u4386 (
- .a(_al_u4344_o),
- .b(F4iax6),
- .c(Vzjpw6),
- .o(_al_u4386_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~((~D*B))*~(A)+C*(~D*B)*~(A)+~(C)*(~D*B)*A+C*(~D*B)*A)"),
- .INIT(16'haf27))
- _al_u4387 (
- .a(H2ciu6_lutinv),
- .b(_al_u4243_o),
- .c(_al_u4386_o),
- .d(_al_u4244_o),
- .o(_al_u4387_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*A))"),
- .INIT(8'hb3))
- _al_u4388 (
- .a(Mnbiu6),
- .b(_al_u4387_o),
- .c(HRDATA[5]),
- .o(I2vhu6));
- AL_MAP_LUT2 #(
- .EQN("~(B@A)"),
- .INIT(4'h9))
- _al_u4389 (
- .a(_al_u4302_o),
- .b(Jpmpw6),
- .o(_al_u4389_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u439 (
- .a(Kmqow6),
- .b(Fnqow6),
- .c(vis_r6_o[3]),
- .d(vis_r5_o[3]),
- .o(_al_u439_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*C*~(D)*~(E)+B*~(C)*D*~(E)+B*C*~(D)*E))"),
- .INIT(32'h00800822))
- _al_u4390 (
- .a(_al_u4389_o),
- .b(_al_u4243_o),
- .c(_al_u4244_o),
- .d(F4iax6),
- .e(G2iax6),
- .o(_al_u4390_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E@B)*(C@A))"),
- .INIT(32'h48001200))
- _al_u4391 (
- .a(_al_u4331_o),
- .b(_al_u4381_o),
- .c(T5mpw6),
- .d(Vzjpw6),
- .e(Xiipw6),
- .o(_al_u4391_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D@C))"),
- .INIT(16'h8008))
- _al_u4392 (
- .a(_al_u4390_o),
- .b(_al_u4391_o),
- .c(_al_u4364_o),
- .d(Xdspw6),
- .o(_al_u4392_o));
- AL_MAP_LUT5 #(
- .EQN("(A*(E*~((D*C))*~(B)+E*(D*C)*~(B)+~(E)*(D*C)*B+E*(D*C)*B))"),
- .INIT(32'ha2228000))
- _al_u4393 (
- .a(A2ciu6_lutinv),
- .b(_al_u4344_o),
- .c(_al_u2856_o),
- .d(HRDATA[6]),
- .e(E8iax6),
- .o(_al_u4393_o));
- AL_MAP_LUT5 #(
- .EQN("~(~B*~(E*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
- .INIT(32'hefeccccc))
- _al_u4394 (
- .a(_al_u4392_o),
- .b(_al_u4393_o),
- .c(H2ciu6_lutinv),
- .d(Vzjpw6),
- .e(Xlfpw6[1]),
- .o(D3vhu6));
- AL_MAP_LUT5 #(
- .EQN("(A*(E*~((D*C))*~(B)+E*(D*C)*~(B)+~(E)*(D*C)*B+E*(D*C)*B))"),
- .INIT(32'ha2228000))
- _al_u4395 (
- .a(A2ciu6_lutinv),
- .b(_al_u4344_o),
- .c(_al_u2856_o),
- .d(HRDATA[7]),
- .e(Zqiax6),
- .o(_al_u4395_o));
- AL_MAP_LUT5 #(
- .EQN("~(~B*~(E*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
- .INIT(32'hefeccccc))
- _al_u4396 (
- .a(_al_u4392_o),
- .b(_al_u4395_o),
- .c(H2ciu6_lutinv),
- .d(Vzjpw6),
- .e(Xlfpw6[2]),
- .o(K3vhu6));
- AL_MAP_LUT5 #(
- .EQN("(A*(E*~((D*C))*~(B)+E*(D*C)*~(B)+~(E)*(D*C)*B+E*(D*C)*B))"),
- .INIT(32'ha2228000))
- _al_u4397 (
- .a(A2ciu6_lutinv),
- .b(_al_u4344_o),
- .c(_al_u2856_o),
- .d(HRDATA[8]),
- .e(Ysiax6),
- .o(_al_u4397_o));
- AL_MAP_LUT5 #(
- .EQN("~(~B*~(E*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
- .INIT(32'hefeccccc))
- _al_u4398 (
- .a(_al_u4392_o),
- .b(_al_u4397_o),
- .c(H2ciu6_lutinv),
- .d(Vzjpw6),
- .e(Xlfpw6[3]),
- .o(R3vhu6));
- AL_MAP_LUT5 #(
- .EQN("(A*(E*~((D*C))*~(B)+E*(D*C)*~(B)+~(E)*(D*C)*B+E*(D*C)*B))"),
- .INIT(32'ha2228000))
- _al_u4399 (
- .a(A2ciu6_lutinv),
- .b(_al_u4344_o),
- .c(_al_u2856_o),
- .d(HRDATA[9]),
- .e(Xuiax6),
- .o(_al_u4399_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u44 (
- .a(Iqzhu6_lutinv),
- .b(Gc1qw6),
- .o(Pl4iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u440 (
- .a(Dmqow6),
- .b(Cpqow6),
- .c(vis_r0_o[3]),
- .d(vis_r2_o[3]),
- .o(Fb2pw6));
- AL_MAP_LUT5 #(
- .EQN("~(~B*~(E*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
- .INIT(32'hefeccccc))
- _al_u4400 (
- .a(_al_u4392_o),
- .b(_al_u4399_o),
- .c(H2ciu6_lutinv),
- .d(Vzjpw6),
- .e(Xlfpw6[4]),
- .o(Y3vhu6));
- AL_MAP_LUT5 #(
- .EQN("(A*(E*~((D*C))*~(B)+E*(D*C)*~(B)+~(E)*(D*C)*B+E*(D*C)*B))"),
- .INIT(32'ha2228000))
- _al_u4401 (
- .a(A2ciu6_lutinv),
- .b(_al_u4344_o),
- .c(_al_u2856_o),
- .d(HRDATA[10]),
- .e(Wwiax6),
- .o(_al_u4401_o));
- AL_MAP_LUT5 #(
- .EQN("~(~B*~(E*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
- .INIT(32'hefeccccc))
- _al_u4402 (
- .a(_al_u4392_o),
- .b(_al_u4401_o),
- .c(H2ciu6_lutinv),
- .d(Vzjpw6),
- .e(Xlfpw6[5]),
- .o(F4vhu6));
- AL_MAP_LUT5 #(
- .EQN("(A*(E*~((D*C))*~(B)+E*(D*C)*~(B)+~(E)*(D*C)*B+E*(D*C)*B))"),
- .INIT(32'ha2228000))
- _al_u4403 (
- .a(A2ciu6_lutinv),
- .b(_al_u4344_o),
- .c(_al_u2856_o),
- .d(HRDATA[11]),
- .e(Wyiax6),
- .o(_al_u4403_o));
- AL_MAP_LUT5 #(
- .EQN("~(~B*~(E*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
- .INIT(32'hefeccccc))
- _al_u4404 (
- .a(_al_u4392_o),
- .b(_al_u4403_o),
- .c(H2ciu6_lutinv),
- .d(Vzjpw6),
- .e(Xlfpw6[6]),
- .o(M4vhu6));
- AL_MAP_LUT5 #(
- .EQN("(A*(E*~((D*C))*~(B)+E*(D*C)*~(B)+~(E)*(D*C)*B+E*(D*C)*B))"),
- .INIT(32'ha2228000))
- _al_u4405 (
- .a(A2ciu6_lutinv),
- .b(_al_u4344_o),
- .c(_al_u2856_o),
- .d(HRDATA[12]),
- .e(W0jax6),
- .o(_al_u4405_o));
- AL_MAP_LUT5 #(
- .EQN("~(~B*~(E*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
- .INIT(32'hefeccccc))
- _al_u4406 (
- .a(_al_u4392_o),
- .b(_al_u4405_o),
- .c(H2ciu6_lutinv),
- .d(Vzjpw6),
- .e(Xlfpw6[7]),
- .o(T4vhu6));
- AL_MAP_LUT5 #(
- .EQN("(A*(E*~((D*C))*~(B)+E*(D*C)*~(B)+~(E)*(D*C)*B+E*(D*C)*B))"),
- .INIT(32'ha2228000))
- _al_u4407 (
- .a(A2ciu6_lutinv),
- .b(_al_u4344_o),
- .c(_al_u2856_o),
- .d(HRDATA[13]),
- .e(W2jax6),
- .o(_al_u4407_o));
- AL_MAP_LUT5 #(
- .EQN("~(~B*~(E*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
- .INIT(32'hefeccccc))
- _al_u4408 (
- .a(_al_u4392_o),
- .b(_al_u4407_o),
- .c(H2ciu6_lutinv),
- .d(Vzjpw6),
- .e(Xlfpw6[8]),
- .o(A5vhu6));
- AL_MAP_LUT5 #(
- .EQN("((E*~(~D*C))*~(B)*~(A)+(E*~(~D*C))*B*~(A)+~((E*~(~D*C)))*B*A+(E*~(~D*C))*B*A)"),
- .INIT(32'hdd8d8888))
- _al_u4409 (
- .a(H2ciu6_lutinv),
- .b(_al_u4364_o),
- .c(_al_u4344_o),
- .d(Vzjpw6),
- .e(Xdspw6),
- .o(_al_u4409_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u441 (
- .a(Xpqow6),
- .b(Eqqow6),
- .c(vis_r7_o[3]),
- .d(vis_r3_o[3]),
- .o(Vc2pw6));
- AL_MAP_LUT3 #(
- .EQN("~(~B*~(C*A))"),
- .INIT(8'hec))
- _al_u4410 (
- .a(Mnbiu6),
- .b(_al_u4409_o),
- .c(HRDATA[0]),
- .o(O5vhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4411 (
- .a(Bo1iu6),
- .b(D7xiu6_lutinv),
- .c(F8dbx6),
- .d(H7hbx6),
- .o(_al_u4411_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4412 (
- .a(F0eow6),
- .b(M0eow6),
- .c(Ot0bx6),
- .d(Xq2bx6),
- .o(_al_u4412_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4413 (
- .a(S1fiu6),
- .b(M6eiu6),
- .c(J7xax6),
- .d(Nazax6),
- .o(_al_u4413_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u4414 (
- .a(_al_u4412_o),
- .b(_al_u4413_o),
- .c(G2fiu6),
- .d(K65bx6),
- .o(_al_u4414_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4415 (
- .a(Hqgiu6),
- .b(Gz6ax6),
- .o(_al_u4415_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4416 (
- .a(I3fiu6),
- .b(E1fiu6),
- .c(Auyax6),
- .d(Slyax6),
- .o(_al_u4416_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~B*A*~(E*D))"),
- .INIT(32'h00202020))
- _al_u4417 (
- .a(_al_u4414_o),
- .b(_al_u4415_o),
- .c(_al_u4416_o),
- .d(Yvgiu6),
- .e(Coupw6),
- .o(_al_u4417_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4418 (
- .a(C0fiu6),
- .b(Yt4bx6),
- .o(_al_u4418_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4419 (
- .a(U2fiu6),
- .b(Q0fiu6),
- .c(C14bx6),
- .d(Od4bx6),
- .o(_al_u4419_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u442 (
- .a(Voqow6),
- .b(Mnqow6),
- .c(vis_r4_o[3]),
- .d(vis_r1_o[3]),
- .o(_al_u442_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~B*A*~(E*D))"),
- .INIT(32'h00202020))
- _al_u4420 (
- .a(_al_u4417_o),
- .b(_al_u4418_o),
- .c(_al_u4419_o),
- .d(Tzdiu6),
- .e(Vkzax6),
- .o(_al_u4420_o));
- AL_MAP_LUT4 #(
- .EQN("(C*B*~(D*~A))"),
- .INIT(16'h80c0))
- _al_u4421 (
- .a(U6piu6),
- .b(Yw1iu6),
- .c(_al_u4420_o),
- .d(_al_u1937_o),
- .o(Xyuiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4422 (
- .a(Xs1iu6),
- .b(Cs1iu6),
- .c(N3hbx6),
- .d(Tzgbx6),
- .o(_al_u4422_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u4423 (
- .a(_al_u4422_o),
- .b(Iv1iu6),
- .c(Zt1iu6),
- .d(vis_pc_o[22]),
- .e(Wxgbx6),
- .o(_al_u4423_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u4424 (
- .a(Vr1iu6),
- .b(Dw1iu6),
- .c(K5hbx6),
- .d(Q1hbx6),
- .o(_al_u4424_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u4425 (
- .a(_al_u4424_o),
- .b(Kw1iu6_lutinv),
- .c(Ar1iu6),
- .d(Ztgbx6),
- .e(Zvgbx6),
- .o(_al_u4425_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u4426 (
- .a(_al_u4423_o),
- .b(_al_u4425_o),
- .c(St1iu6),
- .d(HRDATA[23]),
- .o(_al_u4426_o));
- AL_MAP_LUT4 #(
- .EQN("~(A*~(B*~(D*C)))"),
- .INIT(16'h5ddd))
- _al_u4427 (
- .a(_al_u4411_o),
- .b(Wo1iu6),
- .c(Xyuiu6),
- .d(_al_u4426_o),
- .o(P8phu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~A*~(C*B))"),
- .INIT(16'h0015))
- _al_u4428 (
- .a(_al_u1725_o),
- .b(_al_u1582_o),
- .c(Yp8iu6),
- .d(P5vpw6),
- .o(_al_u4428_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(A*~(~D*B)))"),
- .INIT(16'h50d0))
- _al_u4429 (
- .a(_al_u4428_o),
- .b(_al_u153_o),
- .c(Fpnpw6),
- .d(Ydopw6),
- .o(Hemow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u443 (
- .a(_al_u439_o),
- .b(Fb2pw6),
- .c(Vc2pw6),
- .d(_al_u442_o),
- .o(Uwzhu6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u4430 (
- .a(Hemow6_lutinv),
- .b(Wjyiu6),
- .c(Rzciu6_lutinv),
- .o(Q2eow6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4431 (
- .a(Ldiow6_lutinv),
- .b(Xxupw6),
- .o(_al_u4431_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~C*~B*~A))"),
- .INIT(16'hfe00))
- _al_u4432 (
- .a(_al_u4431_o),
- .b(Pt2ju6),
- .c(_al_u670_o),
- .d(Fpnpw6),
- .o(_al_u4432_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*~A))"),
- .INIT(16'h23af))
- _al_u4433 (
- .a(Ha3ju6_lutinv),
- .b(Q2eow6),
- .c(_al_u4432_o),
- .d(HRDATA[23]),
- .o(Mjnow6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u4434 (
- .a(Hemow6_lutinv),
- .b(Wjyiu6),
- .c(Rzciu6_lutinv),
- .o(_al_u4434_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(D*~(C*~(E*~A))))"),
- .INIT(32'h80ccc0cc))
- _al_u4435 (
- .a(U6piu6),
- .b(Mjnow6),
- .c(_al_u4420_o),
- .d(_al_u4434_o),
- .e(_al_u1937_o),
- .o(H78ow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*~A))"),
- .INIT(16'h23af))
- _al_u4436 (
- .a(Rw1iu6),
- .b(Q2eow6),
- .c(_al_u4434_o),
- .d(HRDATA[31]),
- .o(_al_u4436_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u4437 (
- .a(Mg3ju6_lutinv),
- .b(_al_u4436_o),
- .c(_al_u4432_o),
- .o(_al_u4437_o));
- AL_MAP_LUT4 #(
- .EQN("~((C*~B)*~(A)*~(D)+(C*~B)*A*~(D)+~((C*~B))*A*D+(C*~B)*A*D)"),
- .INIT(16'h55cf))
- _al_u4438 (
- .a(Ldiow6_lutinv),
- .b(Aujpw6),
- .c(S4kbx6),
- .d(Xxupw6),
- .o(_al_u4438_o));
- AL_MAP_LUT5 #(
- .EQN("(D*(~B*~((E*~A))*~(C)+~B*(E*~A)*~(C)+~(~B)*(E*~A)*C+~B*(E*~A)*C))"),
- .INIT(32'h53000300))
- _al_u4439 (
- .a(H78ow6),
- .b(_al_u4437_o),
- .c(_al_u4438_o),
- .d(Ms5bx6),
- .e(Qyniu6_lutinv),
- .o(_al_u4439_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u444 (
- .a(Uwzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[3]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*~A))"),
- .INIT(16'h23af))
- _al_u4440 (
- .a(We3ju6_lutinv),
- .b(Q2eow6),
- .c(_al_u4432_o),
- .d(HRDATA[15]),
- .o(Iimow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(~C*~A))"),
- .INIT(16'h32fa))
- _al_u4441 (
- .a(_al_u4438_o),
- .b(S2ziu6_lutinv),
- .c(Ms5bx6),
- .d(Ufopw6),
- .o(Bimow6));
- AL_MAP_LUT5 #(
- .EQN("(~E*~(B*~(D*~(C*~A))))"),
- .INIT(32'h0000bf33))
- _al_u4442 (
- .a(_al_u4276_o),
- .b(Iimow6),
- .c(_al_u4284_o),
- .d(_al_u4434_o),
- .e(Bimow6),
- .o(_al_u4442_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*~A))"),
- .INIT(16'h23af))
- _al_u4443 (
- .a(Pxriu6),
- .b(Q2eow6),
- .c(_al_u4434_o),
- .d(HRDATA[7]),
- .o(_al_u4443_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u4444 (
- .a(_al_u3833_o),
- .b(_al_u4443_o),
- .c(_al_u4432_o),
- .o(_al_u4444_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(~B*~A))"),
- .INIT(8'h0e))
- _al_u4445 (
- .a(Ms5bx6),
- .b(S4kbx6),
- .c(Xxupw6),
- .o(_al_u4445_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(~C*~A*~(E*~D)))"),
- .INIT(32'h32333232))
- _al_u4446 (
- .a(_al_u4444_o),
- .b(_al_u3892_o),
- .c(_al_u4445_o),
- .d(_al_u1445_o),
- .e(Aujpw6),
- .o(Nhmow6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4447 (
- .a(_al_u4442_o),
- .b(Nhmow6),
- .o(_al_u4447_o));
- AL_MAP_LUT4 #(
- .EQN("(~C*~(D*~(~B*~A)))"),
- .INIT(16'h010f))
- _al_u4448 (
- .a(_al_u153_o),
- .b(_al_u1610_o),
- .c(P5vpw6),
- .d(Yvjpw6),
- .o(Tucow6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u4449 (
- .a(_al_u3800_o),
- .b(R3how6_lutinv),
- .c(Tucow6_lutinv),
- .o(_al_u4449_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u445 (
- .a(Kmqow6),
- .b(Mnqow6),
- .c(vis_r4_o[11]),
- .d(vis_r6_o[11]),
- .o(_al_u445_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4450 (
- .a(_al_u3793_o),
- .b(_al_u3799_o),
- .o(Jfmow6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u4451 (
- .a(Jfmow6),
- .b(F93ju6_lutinv),
- .c(Tucow6_lutinv),
- .o(_al_u4451_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u4452 (
- .a(T23ju6_lutinv),
- .b(Pthiu6),
- .c(Ydopw6),
- .o(_al_u4452_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~C)*~(A)*~(B)+~(D*~C)*A*~(B)+~(~(D*~C))*A*B+~(D*~C)*A*B)"),
- .INIT(16'hb8bb))
- _al_u4453 (
- .a(_al_u3803_o),
- .b(Tucow6_lutinv),
- .c(_al_u4452_o),
- .d(R3vpw6),
- .o(_al_u4453_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*~(B*~A))"),
- .INIT(32'h0000000b))
- _al_u4454 (
- .a(_al_u4439_o),
- .b(_al_u4447_o),
- .c(_al_u4449_o),
- .d(_al_u4451_o),
- .e(_al_u4453_o),
- .o(_al_u4454_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(D*~(E*B*A)))"),
- .INIT(32'h80f000f0))
- _al_u4455 (
- .a(_al_u4243_o),
- .b(_al_u4244_o),
- .c(_al_u4434_o),
- .d(_al_u4249_o),
- .e(_al_u1937_o),
- .o(_al_u4455_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4456 (
- .a(Uk3ju6_lutinv),
- .b(_al_u4432_o),
- .o(_al_u4456_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4457 (
- .a(Q2eow6),
- .b(HRDATA[16]),
- .o(_al_u4457_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u4458 (
- .a(_al_u4455_o),
- .b(_al_u4456_o),
- .c(_al_u4457_o),
- .o(_al_u4458_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u4459 (
- .a(_al_u3793_o),
- .b(F93ju6_lutinv),
- .c(_al_u3799_o),
- .d(Tucow6_lutinv),
- .o(_al_u4459_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u446 (
- .a(Voqow6),
- .b(Fnqow6),
- .c(vis_r1_o[11]),
- .d(vis_r5_o[11]),
- .o(_al_u446_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u4460 (
- .a(_al_u4459_o),
- .b(Df3ju6),
- .o(_al_u4460_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*~B))"),
- .INIT(8'h45))
- _al_u4461 (
- .a(_al_u4460_o),
- .b(Tucow6_lutinv),
- .c(Vo3ju6_lutinv),
- .o(Vacow6));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u4462 (
- .a(_al_u3793_o),
- .b(F93ju6_lutinv),
- .c(_al_u3799_o),
- .o(_al_u4462_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u4463 (
- .a(_al_u4462_o),
- .b(Tucow6_lutinv),
- .c(Df3ju6),
- .o(Xbcow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*~A))"),
- .INIT(16'h23af))
- _al_u4464 (
- .a(M1xiu6),
- .b(Q2eow6),
- .c(_al_u4434_o),
- .d(HRDATA[0]),
- .o(_al_u4464_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u4465 (
- .a(_al_u3841_o),
- .b(_al_u4464_o),
- .c(_al_u4432_o),
- .o(_al_u4465_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4466 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(W4siu6),
- .d(HRDATA[8]),
- .o(_al_u4466_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u4467 (
- .a(_al_u3847_o),
- .b(_al_u4466_o),
- .c(_al_u4432_o),
- .o(Rcliu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(~D*~A))"),
- .INIT(16'hf3a2))
- _al_u4468 (
- .a(Vacow6),
- .b(Xbcow6_lutinv),
- .c(_al_u4465_o),
- .d(Rcliu6),
- .o(Ogdow6));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(E*B*~(~D*C)))"),
- .INIT(32'h11515555))
- _al_u4469 (
- .a(Tucow6_lutinv),
- .b(T1vpw6),
- .c(Vzupw6),
- .d(Xxupw6),
- .e(Ydopw6),
- .o(_al_u4469_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u447 (
- .a(Dmqow6),
- .b(Eqqow6),
- .c(vis_r2_o[11]),
- .d(vis_r7_o[11]),
- .o(_al_u447_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4470 (
- .a(_al_u4449_o),
- .b(_al_u4469_o),
- .o(Eccow6));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*~B))"),
- .INIT(16'h4555))
- _al_u4471 (
- .a(_al_u4451_o),
- .b(Tucow6_lutinv),
- .c(_al_u145_o),
- .d(Xxupw6),
- .o(_al_u4471_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4472 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(P8viu6),
- .d(HRDATA[24]),
- .o(_al_u4472_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u4473 (
- .a(_al_u3905_o),
- .b(_al_u4472_o),
- .c(_al_u4432_o),
- .o(_al_u4473_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~E*~D)*~(~C*~A))"),
- .INIT(32'hc8c8c800))
- _al_u4474 (
- .a(_al_u4458_o),
- .b(Ogdow6),
- .c(Eccow6),
- .d(_al_u4471_o),
- .e(_al_u4473_o),
- .o(_al_u4474_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4475 (
- .a(_al_u4454_o),
- .b(_al_u4474_o),
- .c(Vdmiu6),
- .o(Admiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u4476 (
- .a(Hv3ju6_lutinv),
- .b(_al_u4432_o),
- .o(Dyeow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(~B*A))"),
- .INIT(16'h0ddd))
- _al_u4477 (
- .a(Dyeow6_lutinv),
- .b(F14ju6),
- .c(Q2eow6),
- .d(HRDATA[17]),
- .o(_al_u4477_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4478 (
- .a(Hv3ju6_lutinv),
- .b(_al_u4432_o),
- .o(Qtfow6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*B))"),
- .INIT(8'ha2))
- _al_u4479 (
- .a(_al_u4477_o),
- .b(Qtfow6_lutinv),
- .c(_al_u3861_o),
- .o(_al_u4479_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u448 (
- .a(Cpqow6),
- .b(Xpqow6),
- .c(vis_r0_o[11]),
- .d(vis_r3_o[11]),
- .o(_al_u448_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D*~A)))"),
- .INIT(16'h1303))
- _al_u4480 (
- .a(_al_u4263_o),
- .b(Eccow6),
- .c(_al_u4479_o),
- .d(_al_u4434_o),
- .o(_al_u4480_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4481 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(Ibsiu6),
- .d(HRDATA[9]),
- .o(_al_u4481_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(A*~(C)*~(B)+A*C*~(B)+~(A)*C*B+A*C*B)))"),
- .INIT(32'he200ff00))
- _al_u4482 (
- .a(_al_u3811_o),
- .b(Hv3ju6_lutinv),
- .c(Uc4ju6),
- .d(_al_u4481_o),
- .e(_al_u4432_o),
- .o(Xv6ow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*~A))"),
- .INIT(16'h23af))
- _al_u4483 (
- .a(Ovpiu6),
- .b(Q2eow6),
- .c(_al_u4434_o),
- .d(HRDATA[1]),
- .o(_al_u4483_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
- .INIT(32'he400ff00))
- _al_u4484 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3816_o),
- .c(Mu3ju6),
- .d(_al_u4483_o),
- .e(_al_u4432_o),
- .o(_al_u4484_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*B)*~(~C*~A))"),
- .INIT(16'hfa32))
- _al_u4485 (
- .a(Vacow6),
- .b(Xbcow6_lutinv),
- .c(Xv6ow6),
- .d(_al_u4484_o),
- .o(Bddow6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4486 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(Wfviu6),
- .d(HRDATA[25]),
- .o(_al_u4486_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(E*~(~A*~(D*B))))"),
- .INIT(32'h1050f0f0))
- _al_u4487 (
- .a(_al_u3919_o),
- .b(_al_u3916_o),
- .c(_al_u4486_o),
- .d(_al_u3892_o),
- .e(_al_u4432_o),
- .o(_al_u4487_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(~D*~C))"),
- .INIT(16'h4440))
- _al_u4488 (
- .a(_al_u4480_o),
- .b(Bddow6),
- .c(_al_u4471_o),
- .d(_al_u4487_o),
- .o(_al_u4488_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4489 (
- .a(_al_u4454_o),
- .b(_al_u4488_o),
- .c(Wamiu6),
- .o(Bamiu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u449 (
- .a(_al_u445_o),
- .b(_al_u446_o),
- .c(_al_u447_o),
- .d(_al_u448_o),
- .o(Z20iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*~A))"),
- .INIT(16'h23af))
- _al_u4490 (
- .a(Jaqiu6),
- .b(Q2eow6),
- .c(_al_u4434_o),
- .d(HRDATA[2]),
- .o(_al_u4490_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
- .INIT(32'he400ff00))
- _al_u4491 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3818_o),
- .c(Yt3ju6),
- .d(_al_u4490_o),
- .e(_al_u4432_o),
- .o(Gkcow6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4492 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(Bisiu6),
- .d(HRDATA[10]),
- .o(_al_u4492_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
- .INIT(32'he400ff00))
- _al_u4493 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3813_o),
- .c(Id4ju6),
- .d(_al_u4492_o),
- .e(_al_u4432_o),
- .o(Plcow6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(~D*~A))"),
- .INIT(16'hf3a2))
- _al_u4494 (
- .a(Vacow6),
- .b(Xbcow6_lutinv),
- .c(Gkcow6),
- .d(Plcow6),
- .o(_al_u4494_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4495 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(Wmviu6),
- .d(HRDATA[26]),
- .o(_al_u4495_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(E*~(~A*~(D*B))))"),
- .INIT(32'h1050f0f0))
- _al_u4496 (
- .a(_al_u3912_o),
- .b(_al_u3911_o),
- .c(_al_u4495_o),
- .d(_al_u3892_o),
- .e(_al_u4432_o),
- .o(Ukcow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*~A))"),
- .INIT(16'h23af))
- _al_u4497 (
- .a(U1uiu6),
- .b(Q2eow6),
- .c(_al_u4434_o),
- .d(HRDATA[18]),
- .o(_al_u4497_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
- .INIT(32'he400ff00))
- _al_u4498 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3859_o),
- .c(R04ju6),
- .d(_al_u4497_o),
- .e(_al_u4432_o),
- .o(Wlcow6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~D*~C)*~(~E*~B))"),
- .INIT(32'haaa08880))
- _al_u4499 (
- .a(_al_u4494_o),
- .b(Eccow6),
- .c(_al_u4471_o),
- .d(Ukcow6),
- .e(Wlcow6),
- .o(Q7miu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u45 (
- .a(Iqzhu6_lutinv),
- .b(Gl1qw6),
- .o(Ym4iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u450 (
- .a(Z20iu6),
- .b(Xuzhu6),
- .o(Tgfpw6[11]));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4500 (
- .a(_al_u4454_o),
- .b(Q7miu6),
- .c(X7miu6),
- .o(C7miu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4501 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(Tmqiu6),
- .d(HRDATA[3]),
- .o(_al_u4501_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
- .INIT(32'he400ff00))
- _al_u4502 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3820_o),
- .c(_al_u3829_o),
- .d(_al_u4501_o),
- .e(_al_u4432_o),
- .o(Kfcow6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u4503 (
- .a(Xbcow6_lutinv),
- .b(Kfcow6),
- .o(_al_u4503_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4504 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(Uosiu6),
- .d(HRDATA[11]),
- .o(_al_u4504_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(D*~B*~A))"),
- .INIT(16'he0f0))
- _al_u4505 (
- .a(_al_u3843_o),
- .b(_al_u3863_o),
- .c(_al_u4504_o),
- .d(_al_u4432_o),
- .o(Tgcow6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4506 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(U8uiu6),
- .d(HRDATA[19]),
- .o(_al_u4506_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
- .INIT(32'he400ff00))
- _al_u4507 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3851_o),
- .c(C34ju6),
- .d(_al_u4506_o),
- .e(_al_u4432_o),
- .o(Ahcow6));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(~D*~B)*~(~E*~A))"),
- .INIT(32'h0f0c0a08))
- _al_u4508 (
- .a(Eccow6),
- .b(Vacow6),
- .c(_al_u4503_o),
- .d(Tgcow6),
- .e(Ahcow6),
- .o(_al_u4508_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4509 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(Wtviu6),
- .d(HRDATA[27]),
- .o(_al_u4509_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u451 (
- .a(Voqow6),
- .b(Mnqow6),
- .c(vis_r4_o[4]),
- .d(vis_r1_o[4]),
- .o(_al_u451_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(E*~(~A*~(D*B))))"),
- .INIT(32'h1050f0f0))
- _al_u4510 (
- .a(_al_u3923_o),
- .b(_al_u3922_o),
- .c(_al_u4509_o),
- .d(_al_u3892_o),
- .e(_al_u4432_o),
- .o(Yfcow6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*~B))"),
- .INIT(8'ha8))
- _al_u4511 (
- .a(_al_u4508_o),
- .b(_al_u4471_o),
- .c(Yfcow6),
- .o(R4miu6));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4512 (
- .a(_al_u4454_o),
- .b(R4miu6),
- .c(Y4miu6),
- .o(D4miu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*C)*~(~B*A))"),
- .INIT(16'h0ddd))
- _al_u4513 (
- .a(Dyeow6_lutinv),
- .b(_al_u3865_o),
- .c(Q2eow6),
- .d(HRDATA[12]),
- .o(_al_u4513_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*B))"),
- .INIT(8'ha2))
- _al_u4514 (
- .a(_al_u4513_o),
- .b(Qtfow6_lutinv),
- .c(_al_u3845_o),
- .o(_al_u4514_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(C*~(D*~(E*A))))"),
- .INIT(32'h4c0ccc0c))
- _al_u4515 (
- .a(_al_u4364_o),
- .b(_al_u4514_o),
- .c(_al_u4434_o),
- .d(_al_u4372_o),
- .e(_al_u1937_o),
- .o(_al_u4515_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4516 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(I0wiu6),
- .d(HRDATA[28]),
- .o(_al_u4516_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u4517 (
- .a(_al_u3914_o),
- .b(_al_u4516_o),
- .c(_al_u4432_o),
- .o(P0cow6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4518 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(Bguiu6),
- .d(HRDATA[20]),
- .o(_al_u4518_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
- .INIT(32'hd800ff00))
- _al_u4519 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3870_o),
- .c(_al_u3877_o),
- .d(_al_u4518_o),
- .e(_al_u4432_o),
- .o(K1cow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u452 (
- .a(Dmqow6),
- .b(Cpqow6),
- .c(vis_r2_o[4]),
- .d(vis_r0_o[4]),
- .o(St1pw6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(~D*~A))"),
- .INIT(16'hfca8))
- _al_u4520 (
- .a(Eccow6),
- .b(_al_u4471_o),
- .c(P0cow6),
- .d(K1cow6),
- .o(O2dow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*~A))"),
- .INIT(16'h23af))
- _al_u4521 (
- .a(Yzqiu6),
- .b(Q2eow6),
- .c(_al_u4434_o),
- .d(HRDATA[4]),
- .o(_al_u4521_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
- .INIT(32'hd800ff00))
- _al_u4522 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3827_o),
- .c(_al_u3822_o),
- .d(_al_u4521_o),
- .e(_al_u4432_o),
- .o(B0cow6));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~E*D)*~(~C*~A))"),
- .INIT(32'hc8c800c8))
- _al_u4523 (
- .a(_al_u4515_o),
- .b(O2dow6),
- .c(Vacow6),
- .d(Xbcow6_lutinv),
- .e(B0cow6),
- .o(_al_u4523_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4524 (
- .a(_al_u4454_o),
- .b(_al_u4523_o),
- .c(Z1miu6),
- .o(E1miu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A))"),
- .INIT(16'h2700))
- _al_u4525 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3861_o),
- .c(Uc4ju6),
- .d(_al_u4432_o),
- .o(_al_u4525_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u4526 (
- .a(_al_u4525_o),
- .b(Q2eow6),
- .c(HRDATA[13]),
- .o(_al_u4526_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(C*~(D*~(E*~A))))"),
- .INIT(32'h8c0ccc0c))
- _al_u4527 (
- .a(_al_u4331_o),
- .b(_al_u4526_o),
- .c(_al_u4434_o),
- .d(_al_u4333_o),
- .e(_al_u1937_o),
- .o(_al_u4527_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4528 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(Eariu6),
- .d(HRDATA[5]),
- .o(_al_u4528_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B)))"),
- .INIT(32'hb800ff00))
- _al_u4529 (
- .a(_al_u3811_o),
- .b(Hv3ju6_lutinv),
- .c(Mu3ju6),
- .d(_al_u4528_o),
- .e(_al_u4432_o),
- .o(Piziu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u453 (
- .a(Kmqow6),
- .b(Fnqow6),
- .c(vis_r6_o[4]),
- .d(vis_r5_o[4]),
- .o(_al_u453_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4530 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(Umuiu6),
- .d(HRDATA[21]),
- .o(_al_u4530_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
- .INIT(32'hd800ff00))
- _al_u4531 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3868_o),
- .c(F14ju6),
- .d(_al_u4530_o),
- .e(_al_u4432_o),
- .o(Alziu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(~D*~A))"),
- .INIT(16'hf3a2))
- _al_u4532 (
- .a(Eccow6),
- .b(Xbcow6_lutinv),
- .c(Piziu6),
- .d(Alziu6),
- .o(Nycow6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*B)*~(D*A))"),
- .INIT(16'h51f3))
- _al_u4533 (
- .a(Q2eow6),
- .b(_al_u4434_o),
- .c(U6wiu6),
- .d(HRDATA[29]),
- .o(_al_u4533_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(E*~(~A*~(D*B))))"),
- .INIT(32'h1050f0f0))
- _al_u4534 (
- .a(_al_u3921_o),
- .b(_al_u3920_o),
- .c(_al_u4533_o),
- .d(_al_u3892_o),
- .e(_al_u4432_o),
- .o(Rjziu6));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~E*~C)*~(~D*~A))"),
- .INIT(32'hcc88c080))
- _al_u4535 (
- .a(_al_u4527_o),
- .b(Nycow6),
- .c(_al_u4471_o),
- .d(Vacow6),
- .e(Rjziu6),
- .o(_al_u4535_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4536 (
- .a(_al_u4454_o),
- .b(_al_u4535_o),
- .c(Azliu6),
- .o(Fyliu6));
- AL_MAP_LUT4 #(
- .EQN("(C*~(B*~(D*A)))"),
- .INIT(16'hb030))
- _al_u4537 (
- .a(_al_u4302_o),
- .b(_al_u4315_o),
- .c(_al_u4434_o),
- .d(_al_u1937_o),
- .o(_al_u4537_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A))"),
- .INIT(16'h2700))
- _al_u4538 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3859_o),
- .c(Id4ju6),
- .d(_al_u4432_o),
- .o(_al_u4538_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*C))"),
- .INIT(16'h0111))
- _al_u4539 (
- .a(_al_u4537_o),
- .b(_al_u4538_o),
- .c(Q2eow6),
- .d(HRDATA[14]),
- .o(_al_u4539_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u454 (
- .a(Xpqow6),
- .b(Eqqow6),
- .c(vis_r3_o[4]),
- .d(vis_r7_o[4]),
- .o(Iv1pw6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*~A))"),
- .INIT(16'h23af))
- _al_u4540 (
- .a(Ntuiu6),
- .b(Q2eow6),
- .c(_al_u4434_o),
- .d(HRDATA[22]),
- .o(_al_u4540_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
- .INIT(32'hd800ff00))
- _al_u4541 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3872_o),
- .c(R04ju6),
- .d(_al_u4540_o),
- .e(_al_u4432_o),
- .o(G6cow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*~A))"),
- .INIT(16'h23af))
- _al_u4542 (
- .a(Kkriu6),
- .b(Q2eow6),
- .c(_al_u4434_o),
- .d(HRDATA[6]),
- .o(_al_u4542_o));
- AL_MAP_LUT5 #(
- .EQN("(D*~(E*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
- .INIT(32'hd800ff00))
- _al_u4543 (
- .a(Hv3ju6_lutinv),
- .b(_al_u3813_o),
- .c(Yt3ju6),
- .d(_al_u4542_o),
- .e(_al_u4432_o),
- .o(_al_u4543_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*B)*~(~C*~A))"),
- .INIT(16'hfa32))
- _al_u4544 (
- .a(Eccow6),
- .b(Xbcow6_lutinv),
- .c(G6cow6),
- .d(_al_u4543_o),
- .o(_al_u4544_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(~D*B))"),
- .INIT(16'ha020))
- _al_u4545 (
- .a(Queow6),
- .b(_al_u3906_o),
- .c(_al_u3892_o),
- .d(Df3ju6),
- .o(_al_u4545_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*~A))"),
- .INIT(16'h23af))
- _al_u4546 (
- .a(Bewiu6),
- .b(Q2eow6),
- .c(_al_u4434_o),
- .d(HRDATA[30]),
- .o(_al_u4546_o));
- AL_MAP_LUT4 #(
- .EQN("(C*~(D*~(~B*~A)))"),
- .INIT(16'h10f0))
- _al_u4547 (
- .a(_al_u3910_o),
- .b(_al_u4545_o),
- .c(_al_u4546_o),
- .d(_al_u4432_o),
- .o(_al_u4547_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~E*~C)*~(~D*~A))"),
- .INIT(32'hcc88c080))
- _al_u4548 (
- .a(_al_u4539_o),
- .b(_al_u4544_o),
- .c(_al_u4471_o),
- .d(Vacow6),
- .e(_al_u4547_o),
- .o(_al_u4548_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4549 (
- .a(_al_u4454_o),
- .b(_al_u4548_o),
- .c(Uvliu6),
- .o(Zuliu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u455 (
- .a(_al_u451_o),
- .b(St1pw6),
- .c(_al_u453_o),
- .d(Iv1pw6),
- .o(Nwzhu6));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*~(C*~A)))"),
- .INIT(16'h40cc))
- _al_u4550 (
- .a(_al_u4276_o),
- .b(Iimow6),
- .c(_al_u4284_o),
- .d(_al_u4434_o),
- .o(_al_u4550_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*C)*~(~B*~A))"),
- .INIT(16'hee0e))
- _al_u4551 (
- .a(_al_u4437_o),
- .b(_al_u4471_o),
- .c(Xbcow6_lutinv),
- .d(_al_u4444_o),
- .o(_al_u4551_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~E*~B)*~(~D*~A))"),
- .INIT(32'hf0a0c080))
- _al_u4552 (
- .a(H78ow6),
- .b(_al_u4550_o),
- .c(_al_u4551_o),
- .d(Eccow6),
- .e(Vacow6),
- .o(_al_u4552_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4553 (
- .a(_al_u4454_o),
- .b(_al_u4552_o),
- .c(Evkiu6),
- .o(Vx9iu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*A)*~(B)*~(C)+(D*A)*B*~(C)+~((D*A))*B*C+(D*A)*B*C)"),
- .INIT(16'h353f))
- _al_u4554 (
- .a(_al_u145_o),
- .b(T23ju6_lutinv),
- .c(Vzupw6),
- .d(Ydopw6),
- .o(Xfmow6));
- AL_MAP_LUT5 #(
- .EQN("(~A*(~E*~((~C*~B))*~(D)+~E*(~C*~B)*~(D)+~(~E)*(~C*~B)*D+~E*(~C*~B)*D))"),
- .INIT(32'h01000155))
- _al_u4555 (
- .a(_al_u4460_o),
- .b(_al_u4462_o),
- .c(_al_u3890_o),
- .d(Tucow6_lutinv),
- .e(Xfmow6),
- .o(_al_u4555_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~(B*~A))"),
- .INIT(16'h00b0))
- _al_u4556 (
- .a(_al_u4439_o),
- .b(_al_u4447_o),
- .c(_al_u4555_o),
- .d(_al_u4449_o),
- .o(_al_u4556_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*~C))"),
- .INIT(16'h4044))
- _al_u4557 (
- .a(Tucow6_lutinv),
- .b(N98iu6_lutinv),
- .c(Yp8iu6),
- .d(Ufopw6),
- .o(_al_u4557_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4558 (
- .a(_al_u4460_o),
- .b(_al_u4557_o),
- .o(_al_u4558_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B)"),
- .INIT(8'h47))
- _al_u4559 (
- .a(_al_u3890_o),
- .b(Tucow6_lutinv),
- .c(Vo3ju6_lutinv),
- .o(Kldow6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u456 (
- .a(Nwzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[4]));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(~D*~A))"),
- .INIT(16'hfca8))
- _al_u4560 (
- .a(_al_u4558_o),
- .b(Kldow6),
- .c(Wlcow6),
- .d(Gkcow6),
- .o(_al_u4560_o));
- AL_MAP_LUT3 #(
- .EQN("~(~B*~(A)*~(C)+~B*A*~(C)+~(~B)*A*C+~B*A*C)"),
- .INIT(8'h5c))
- _al_u4561 (
- .a(T23ju6_lutinv),
- .b(R3vpw6),
- .c(Ufopw6),
- .o(B4mow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(B*~(~D*~C*~A))"),
- .INIT(16'hccc8))
- _al_u4562 (
- .a(_al_u4431_o),
- .b(B4mow6_lutinv),
- .c(Jf6ju6),
- .d(Ms5bx6),
- .o(_al_u4562_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*~B))"),
- .INIT(8'ha8))
- _al_u4563 (
- .a(Eccow6),
- .b(_al_u4562_o),
- .c(Tucow6_lutinv),
- .o(Pkdow6));
- AL_MAP_LUT3 #(
- .EQN("(~(A)*~(B)*~(C)+A*~(B)*~(C)+~(A)*~(B)*C+~(A)*B*C+A*B*C)"),
- .INIT(8'hd3))
- _al_u4564 (
- .a(Yp8iu6),
- .b(Ufopw6),
- .c(Xxupw6),
- .o(_al_u4564_o));
- AL_MAP_LUT4 #(
- .EQN("~((D*~C)*~(A)*~(B)+(D*~C)*A*~(B)+~((D*~C))*A*B+(D*~C)*A*B)"),
- .INIT(16'h7477))
- _al_u4565 (
- .a(_al_u4462_o),
- .b(Tucow6_lutinv),
- .c(_al_u4564_o),
- .d(Ms5bx6),
- .o(_al_u4565_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~D*~C)*~(~E*~B))"),
- .INIT(32'haaa08880))
- _al_u4566 (
- .a(_al_u4560_o),
- .b(Pkdow6),
- .c(Ukcow6),
- .d(_al_u4565_o),
- .e(Plcow6),
- .o(_al_u4566_o));
- AL_MAP_LUT4 #(
- .EQN("~(~D*C*B*~A)"),
- .INIT(16'hffbf))
- _al_u4567 (
- .a(_al_u4556_o),
- .b(_al_u4566_o),
- .c(_al_u3706_o),
- .d(I98ju6_lutinv),
- .o(Hymiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~B)*~(~C*~A))"),
- .INIT(16'hfac8))
- _al_u4568 (
- .a(_al_u4437_o),
- .b(_al_u4558_o),
- .c(_al_u4565_o),
- .d(_al_u4444_o),
- .o(_al_u4568_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~D*~B)*~(~E*~A))"),
- .INIT(32'hf0c0a080))
- _al_u4569 (
- .a(H78ow6),
- .b(_al_u4550_o),
- .c(_al_u4568_o),
- .d(Pkdow6),
- .e(Kldow6),
- .o(_al_u4569_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u457 (
- .a(Dmqow6),
- .b(Eqqow6),
- .c(vis_r7_o[12]),
- .d(vis_r2_o[12]),
- .o(_al_u457_o));
- AL_MAP_LUT4 #(
- .EQN("~(~D*C*B*~A)"),
- .INIT(16'hffbf))
- _al_u4570 (
- .a(_al_u4556_o),
- .b(_al_u4569_o),
- .c(_al_u3774_o),
- .d(_al_u3775_o),
- .o(Zfmiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(~D*~A))"),
- .INIT(16'hfca8))
- _al_u4571 (
- .a(Pkdow6),
- .b(_al_u4487_o),
- .c(_al_u4565_o),
- .d(Xv6ow6),
- .o(_al_u4571_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~D*~(C*~(E*~A))))"),
- .INIT(32'hcc80ccc0))
- _al_u4572 (
- .a(_al_u4263_o),
- .b(_al_u4571_o),
- .c(_al_u4479_o),
- .d(Kldow6),
- .e(_al_u4434_o),
- .o(_al_u4572_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4573 (
- .a(_al_u4558_o),
- .b(_al_u4484_o),
- .o(_al_u4573_o));
- AL_MAP_LUT4 #(
- .EQN("~(D*~C*B*~A)"),
- .INIT(16'hfbff))
- _al_u4574 (
- .a(_al_u4556_o),
- .b(_al_u4572_o),
- .c(_al_u4573_o),
- .d(_al_u3762_o),
- .o(K3niu6));
- AL_MAP_LUT5 #(
- .EQN("(~(~C*B*~A)*~(D)*~(E)+~(~C*B*~A)*D*~(E)+~(~(~C*B*~A))*D*E+~(~C*B*~A)*D*E)"),
- .INIT(32'hff00fbfb))
- _al_u4575 (
- .a(_al_u4556_o),
- .b(_al_u4572_o),
- .c(_al_u4573_o),
- .d(Gh0iu6_lutinv),
- .e(Uzaiu6),
- .o(Czmiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4576 (
- .a(Kldow6),
- .b(Ahcow6),
- .o(_al_u4576_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(~E*~B)*~(~D*~A))"),
- .INIT(32'h0f0a0c08))
- _al_u4577 (
- .a(Pkdow6),
- .b(_al_u4558_o),
- .c(_al_u4576_o),
- .d(Tgcow6),
- .e(Kfcow6),
- .o(_al_u4577_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*~B))"),
- .INIT(8'ha8))
- _al_u4578 (
- .a(_al_u4577_o),
- .b(Yfcow6),
- .c(_al_u4565_o),
- .o(_al_u4578_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4579 (
- .a(_al_u4556_o),
- .b(_al_u4578_o),
- .c(_al_u3712_o),
- .o(Vrmiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u458 (
- .a(Voqow6),
- .b(Cpqow6),
- .c(vis_r0_o[12]),
- .d(vis_r1_o[12]),
- .o(_al_u458_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(~D*~A))"),
- .INIT(16'hfca8))
- _al_u4580 (
- .a(_al_u4558_o),
- .b(_al_u4473_o),
- .c(_al_u4565_o),
- .d(_al_u4465_o),
- .o(_al_u4580_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~D*~B)*~(~E*~A))"),
- .INIT(32'hf0c0a080))
- _al_u4581 (
- .a(_al_u4458_o),
- .b(Pkdow6),
- .c(_al_u4580_o),
- .d(Rcliu6),
- .e(Kldow6),
- .o(_al_u4581_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4582 (
- .a(_al_u4556_o),
- .b(_al_u4581_o),
- .c(_al_u3720_o),
- .o(X6niu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4583 (
- .a(_al_u4515_o),
- .b(Pkdow6),
- .o(_al_u4583_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4584 (
- .a(Kldow6),
- .b(K1cow6),
- .o(_al_u4584_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(~C*~B)*~(~E*~A))"),
- .INIT(32'h00fc00a8))
- _al_u4585 (
- .a(_al_u4558_o),
- .b(P0cow6),
- .c(_al_u4565_o),
- .d(_al_u4584_o),
- .e(B0cow6),
- .o(_al_u4585_o));
- AL_MAP_LUT4 #(
- .EQN("~(D*C*~B*~A)"),
- .INIT(16'hefff))
- _al_u4586 (
- .a(_al_u4556_o),
- .b(_al_u4583_o),
- .c(_al_u4585_o),
- .d(_al_u3652_o),
- .o(Bomiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~C)*~(~B*~A))"),
- .INIT(16'heee0))
- _al_u4587 (
- .a(_al_u4558_o),
- .b(Piziu6),
- .c(Kldow6),
- .d(Alziu6),
- .o(Qodow6));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~E*~D)*~(~B*~A))"),
- .INIT(32'he0e0e000))
- _al_u4588 (
- .a(_al_u4527_o),
- .b(Pkdow6),
- .c(Qodow6),
- .d(Rjziu6),
- .e(_al_u4565_o),
- .o(_al_u4588_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4589 (
- .a(_al_u4556_o),
- .b(_al_u4588_o),
- .c(_al_u3659_o),
- .o(Jlmiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u459 (
- .a(Kmqow6),
- .b(Xpqow6),
- .c(vis_r3_o[12]),
- .d(vis_r6_o[12]),
- .o(_al_u459_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4590 (
- .a(_al_u4558_o),
- .b(_al_u4543_o),
- .o(_al_u4590_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4591 (
- .a(_al_u4547_o),
- .b(_al_u4565_o),
- .o(_al_u4591_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4592 (
- .a(Kldow6),
- .b(G6cow6),
- .o(_al_u4592_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*~(~B*~A))"),
- .INIT(32'h0000000e))
- _al_u4593 (
- .a(_al_u4539_o),
- .b(Pkdow6),
- .c(_al_u4590_o),
- .d(_al_u4591_o),
- .e(_al_u4592_o),
- .o(_al_u4593_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4594 (
- .a(_al_u4556_o),
- .b(_al_u4593_o),
- .c(_al_u3771_o),
- .o(Rimiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(D*A))"),
- .INIT(16'h54fc))
- _al_u4595 (
- .a(T23ju6_lutinv),
- .b(D31ju6),
- .c(Yp8iu6),
- .d(Ufopw6),
- .o(_al_u4595_o));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*~C*~B))"),
- .INIT(16'haaa8))
- _al_u4596 (
- .a(_al_u4595_o),
- .b(_al_u4431_o),
- .c(Ms5bx6),
- .d(S4kbx6),
- .o(_al_u4596_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*~B))"),
- .INIT(8'ha8))
- _al_u4597 (
- .a(Eccow6),
- .b(_al_u4596_o),
- .c(Tucow6_lutinv),
- .o(_al_u4597_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*~A)"),
- .INIT(16'h0010))
- _al_u4598 (
- .a(Tucow6_lutinv),
- .b(P5vpw6),
- .c(S4kbx6),
- .d(Xxupw6),
- .o(G8how6));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(~E*C)*~(D*A))"),
- .INIT(32'h11330103))
- _al_u4599 (
- .a(_al_u3890_o),
- .b(_al_u4557_o),
- .c(G8how6),
- .d(Tucow6_lutinv),
- .e(Ms5bx6),
- .o(Ycliu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u46 (
- .a(Iqzhu6_lutinv),
- .b(Nv3qw6),
- .o(M94iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u460 (
- .a(Fnqow6),
- .b(Mnqow6),
- .c(vis_r5_o[12]),
- .d(vis_r4_o[12]),
- .o(Vk1pw6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4600 (
- .a(Rcliu6),
- .b(Ycliu6),
- .o(_al_u4600_o));
- AL_MAP_LUT5 #(
- .EQN("(~A*~(D*~C)*~(E*B))"),
- .INIT(32'h10115055))
- _al_u4601 (
- .a(_al_u4459_o),
- .b(G8how6),
- .c(Tucow6_lutinv),
- .d(Vo3ju6_lutinv),
- .e(Ms5bx6),
- .o(_al_u4601_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(~D*~B)*~(~E*~A))"),
- .INIT(32'h0f0c0a08))
- _al_u4602 (
- .a(_al_u4597_o),
- .b(_al_u4473_o),
- .c(_al_u4600_o),
- .d(_al_u4601_o),
- .e(_al_u4465_o),
- .o(_al_u4602_o));
- AL_MAP_LUT5 #(
- .EQN("~((~C*~B)*~((~D*A))*~(E)+(~C*~B)*(~D*A)*~(E)+~((~C*~B))*(~D*A)*E+(~C*~B)*(~D*A)*E)"),
- .INIT(32'hff55fcfc))
- _al_u4603 (
- .a(Yp8iu6),
- .b(P5vpw6),
- .c(S4kbx6),
- .d(Ufopw6),
- .e(Xxupw6),
- .o(_al_u4603_o));
- AL_MAP_LUT5 #(
- .EQN("~((E*~D)*~((~B*A))*~(C)+(E*~D)*(~B*A)*~(C)+~((E*~D))*(~B*A)*C+(E*~D)*(~B*A)*C)"),
- .INIT(32'hdfd0dfdf))
- _al_u4604 (
- .a(_al_u4462_o),
- .b(R3how6_lutinv),
- .c(Tucow6_lutinv),
- .d(_al_u4603_o),
- .e(Ms5bx6),
- .o(Cfliu6));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~C*~(~E*~D*~A)))"),
- .INIT(32'hc0c0c0c4))
- _al_u4605 (
- .a(_al_u4455_o),
- .b(_al_u4602_o),
- .c(Cfliu6),
- .d(_al_u4456_o),
- .e(_al_u4457_o),
- .o(_al_u4605_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~D*~(B*~(C*A))))"),
- .INIT(32'hff4c0000))
- _al_u4606 (
- .a(_al_u3793_o),
- .b(R3how6_lutinv),
- .c(F93ju6_lutinv),
- .d(_al_u3799_o),
- .e(Tucow6_lutinv),
- .o(n3708));
- AL_MAP_LUT5 #(
- .EQN("(B*~(E*~(D*~C*~A)))"),
- .INIT(32'h0400cccc))
- _al_u4607 (
- .a(_al_u4439_o),
- .b(_al_u4605_o),
- .c(_al_u4442_o),
- .d(Nhmow6),
- .e(n3708),
- .o(_al_u4607_o));
- AL_MAP_LUT2 #(
- .EQN("~(B*A)"),
- .INIT(4'h7))
- _al_u4608 (
- .a(_al_u4607_o),
- .b(Ibliu6),
- .o(Tx8iu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4609 (
- .a(_al_u4439_o),
- .b(_al_u4447_o),
- .o(_al_u4609_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u461 (
- .a(_al_u457_o),
- .b(_al_u458_o),
- .c(_al_u459_o),
- .d(Vk1pw6),
- .o(S20iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~B)*~(~C*~A))"),
- .INIT(16'hfac8))
- _al_u4610 (
- .a(Ukcow6),
- .b(Cfliu6),
- .c(_al_u4601_o),
- .d(Wlcow6),
- .o(_al_u4610_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~E*~C)*~(~D*~A))"),
- .INIT(32'hcc88c080))
- _al_u4611 (
- .a(_al_u4597_o),
- .b(_al_u4610_o),
- .c(Ycliu6),
- .d(Gkcow6),
- .e(Plcow6),
- .o(_al_u4611_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*B*~(D*~A))"),
- .INIT(16'h7f3f))
- _al_u4612 (
- .a(_al_u4609_o),
- .b(_al_u4611_o),
- .c(Cgkiu6),
- .d(n3708),
- .o(Qcaiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(~D*~A))"),
- .INIT(16'hfca8))
- _al_u4613 (
- .a(Cfliu6),
- .b(Tgcow6),
- .c(Ycliu6),
- .d(Ahcow6),
- .o(_al_u4613_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~D*~C)*~(~E*~A))"),
- .INIT(32'hccc08880))
- _al_u4614 (
- .a(_al_u4597_o),
- .b(_al_u4613_o),
- .c(Yfcow6),
- .d(_al_u4601_o),
- .e(Kfcow6),
- .o(_al_u4614_o));
- AL_MAP_LUT4 #(
- .EQN("~(D*B*~(C*~A))"),
- .INIT(16'h73ff))
- _al_u4615 (
- .a(_al_u4609_o),
- .b(_al_u4614_o),
- .c(n3708),
- .d(Dkkiu6),
- .o(Jgkiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~B)*~(~C*~A))"),
- .INIT(16'hfac8))
- _al_u4616 (
- .a(P0cow6),
- .b(Cfliu6),
- .c(_al_u4601_o),
- .d(K1cow6),
- .o(_al_u4616_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(~E*~B)*~(~D*~A))"),
- .INIT(32'hf0a0c080))
- _al_u4617 (
- .a(_al_u4515_o),
- .b(_al_u4597_o),
- .c(_al_u4616_o),
- .d(Ycliu6),
- .e(B0cow6),
- .o(_al_u4617_o));
- AL_MAP_LUT4 #(
- .EQN("~(D*B*~(C*~A))"),
- .INIT(16'h73ff))
- _al_u4618 (
- .a(_al_u4609_o),
- .b(_al_u4617_o),
- .c(n3708),
- .d(Kkkiu6),
- .o(Ef8iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~B)*~(~C*~A))"),
- .INIT(16'hfac8))
- _al_u4619 (
- .a(_al_u4597_o),
- .b(Cfliu6),
- .c(Piziu6),
- .d(Alziu6),
- .o(Gpeow6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u462 (
- .a(S20iu6),
- .b(Xuzhu6),
- .o(Tgfpw6[12]));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~D*~C)*~(~E*~A))"),
- .INIT(32'hccc08880))
- _al_u4620 (
- .a(_al_u4527_o),
- .b(Gpeow6),
- .c(Rjziu6),
- .d(_al_u4601_o),
- .e(Ycliu6),
- .o(_al_u4620_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*B*~(D*~A))"),
- .INIT(16'h7f3f))
- _al_u4621 (
- .a(_al_u4609_o),
- .b(_al_u4620_o),
- .c(Lokiu6),
- .d(n3708),
- .o(Rkkiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(~D*~A))"),
- .INIT(16'hfca8))
- _al_u4622 (
- .a(_al_u4597_o),
- .b(Cfliu6),
- .c(G6cow6),
- .d(_al_u4543_o),
- .o(_al_u4622_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~D*~C)*~(~E*~A))"),
- .INIT(32'hccc08880))
- _al_u4623 (
- .a(_al_u4539_o),
- .b(_al_u4622_o),
- .c(_al_u4547_o),
- .d(_al_u4601_o),
- .e(Ycliu6),
- .o(_al_u4623_o));
- AL_MAP_LUT4 #(
- .EQN("~(D*B*~(C*~A))"),
- .INIT(16'h73ff))
- _al_u4624 (
- .a(_al_u4609_o),
- .b(_al_u4623_o),
- .c(n3708),
- .d(_al_u3677_o),
- .o(Sokiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4625 (
- .a(_al_u4437_o),
- .b(_al_u4601_o),
- .o(_al_u4625_o));
- AL_MAP_LUT5 #(
- .EQN("(~C*~(~D*~B)*~(~E*~A))"),
- .INIT(32'h0f0c0a08))
- _al_u4626 (
- .a(_al_u4550_o),
- .b(_al_u4597_o),
- .c(_al_u4625_o),
- .d(_al_u4444_o),
- .e(Ycliu6),
- .o(_al_u4626_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*~B))"),
- .INIT(8'ha8))
- _al_u4627 (
- .a(_al_u4626_o),
- .b(H78ow6),
- .c(Cfliu6),
- .o(_al_u4627_o));
- AL_MAP_LUT4 #(
- .EQN("~(D*B*~(C*~A))"),
- .INIT(16'h73ff))
- _al_u4628 (
- .a(_al_u4609_o),
- .b(_al_u4627_o),
- .c(n3708),
- .d(_al_u3649_o),
- .o(Drkiu6));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D*~A)))"),
- .INIT(16'h1303))
- _al_u4629 (
- .a(_al_u4263_o),
- .b(Cfliu6),
- .c(_al_u4479_o),
- .d(_al_u4434_o),
- .o(_al_u4629_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u463 (
- .a(Kmqow6),
- .b(Fnqow6),
- .c(vis_r6_o[5]),
- .d(vis_r5_o[5]),
- .o(_al_u463_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(~D*~A))"),
- .INIT(16'hfca8))
- _al_u4630 (
- .a(_al_u4597_o),
- .b(Xv6ow6),
- .c(Ycliu6),
- .d(_al_u4484_o),
- .o(Mt6ow6));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(~D*~C))"),
- .INIT(16'h4440))
- _al_u4631 (
- .a(_al_u4629_o),
- .b(Mt6ow6),
- .c(_al_u4487_o),
- .d(_al_u4601_o),
- .o(_al_u4631_o));
- AL_MAP_LUT4 #(
- .EQN("~(D*B*~(C*~A))"),
- .INIT(16'h73ff))
- _al_u4632 (
- .a(_al_u4609_o),
- .b(_al_u4631_o),
- .c(n3708),
- .d(Bpliu6),
- .o(Uoliu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u4633 (
- .a(_al_u2563_o),
- .b(HREADY),
- .o(_al_u4633_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u4634 (
- .a(_al_u1075_o),
- .b(_al_u1063_o),
- .c(Halax6),
- .d(Qakbx6),
- .o(_al_u4634_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u4635 (
- .a(LOCKUP),
- .b(_al_u4633_o),
- .c(_al_u4634_o),
- .o(_al_u4635_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4636 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[21]),
- .d(Nxkbx6[23]),
- .o(_al_u4636_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*~C))"),
- .INIT(16'h8088))
- _al_u4637 (
- .a(_al_u4633_o),
- .b(_al_u2567_o),
- .c(_al_u685_o),
- .d(D1piu6_lutinv),
- .o(Cmziu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u4638 (
- .a(_al_u4636_o),
- .b(Cmziu6_lutinv),
- .c(Zsfpw6[21]),
- .o(_al_u4638_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*~C*~(E*~(B*~A)))"),
- .INIT(32'hfbfff0ff))
- _al_u4639 (
- .a(_al_u4454_o),
- .b(_al_u4548_o),
- .c(_al_u4635_o),
- .d(_al_u4638_o),
- .e(Zgziu6_lutinv),
- .o(Ocohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u464 (
- .a(Cpqow6),
- .b(Eqqow6),
- .c(vis_r0_o[5]),
- .d(vis_r7_o[5]),
- .o(_al_u464_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4640 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[15]),
- .d(Zsfpw6[15]),
- .o(_al_u4640_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u4641 (
- .a(_al_u4640_o),
- .b(Egziu6),
- .c(Nxkbx6[17]),
- .o(_al_u4641_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*~C*~(E*~(B*~A)))"),
- .INIT(32'hfbfff0ff))
- _al_u4642 (
- .a(_al_u4454_o),
- .b(_al_u4474_o),
- .c(_al_u4635_o),
- .d(_al_u4641_o),
- .e(Zgziu6_lutinv),
- .o(Zlohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4643 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[16]),
- .d(Zsfpw6[16]),
- .o(_al_u4643_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u4644 (
- .a(_al_u4643_o),
- .b(Egziu6),
- .c(Nxkbx6[18]),
- .o(_al_u4644_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*~C*~(E*~(B*~A)))"),
- .INIT(32'hfbfff0ff))
- _al_u4645 (
- .a(_al_u4454_o),
- .b(_al_u4488_o),
- .c(_al_u4635_o),
- .d(_al_u4644_o),
- .e(Zgziu6_lutinv),
- .o(Gmohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4646 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[17]),
- .d(Zsfpw6[17]),
- .o(_al_u4646_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u4647 (
- .a(_al_u4646_o),
- .b(Egziu6),
- .c(Nxkbx6[19]),
- .o(_al_u4647_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*~C*~(E*~(B*~A)))"),
- .INIT(32'hfbfff0ff))
- _al_u4648 (
- .a(_al_u4454_o),
- .b(Q7miu6),
- .c(_al_u4635_o),
- .d(_al_u4647_o),
- .e(Zgziu6_lutinv),
- .o(Nmohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4649 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[18]),
- .d(Nxkbx6[20]),
- .o(_al_u4649_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u465 (
- .a(Xpqow6),
- .b(Mnqow6),
- .c(vis_r3_o[5]),
- .d(vis_r4_o[5]),
- .o(_al_u465_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u4650 (
- .a(_al_u4649_o),
- .b(Cmziu6_lutinv),
- .c(Zsfpw6[18]),
- .o(_al_u4650_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*~C*~(E*~(B*~A)))"),
- .INIT(32'hfbfff0ff))
- _al_u4651 (
- .a(_al_u4454_o),
- .b(R4miu6),
- .c(_al_u4635_o),
- .d(_al_u4650_o),
- .e(Zgziu6_lutinv),
- .o(Umohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4652 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[19]),
- .d(Zsfpw6[19]),
- .o(_al_u4652_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u4653 (
- .a(_al_u4652_o),
- .b(Egziu6),
- .c(Nxkbx6[21]),
- .o(_al_u4653_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*~C*~(E*~(B*~A)))"),
- .INIT(32'hfbfff0ff))
- _al_u4654 (
- .a(_al_u4454_o),
- .b(_al_u4523_o),
- .c(_al_u4635_o),
- .d(_al_u4653_o),
- .e(Zgziu6_lutinv),
- .o(Bnohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4655 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[20]),
- .d(Nxkbx6[22]),
- .o(_al_u4655_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u4656 (
- .a(_al_u4655_o),
- .b(Cmziu6_lutinv),
- .c(Zsfpw6[20]),
- .o(_al_u4656_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*~C*~(E*~(B*~A)))"),
- .INIT(32'hfbfff0ff))
- _al_u4657 (
- .a(_al_u4454_o),
- .b(_al_u4535_o),
- .c(_al_u4635_o),
- .d(_al_u4656_o),
- .e(Zgziu6_lutinv),
- .o(Inohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4658 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[22]),
- .d(Zsfpw6[22]),
- .o(_al_u4658_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(C*B))"),
- .INIT(8'h2a))
- _al_u4659 (
- .a(_al_u4658_o),
- .b(Egziu6),
- .c(Nxkbx6[24]),
- .o(_al_u4659_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u466 (
- .a(Voqow6),
- .b(Dmqow6),
- .c(vis_r1_o[5]),
- .d(vis_r2_o[5]),
- .o(_al_u466_o));
- AL_MAP_LUT5 #(
- .EQN("~(D*~C*~(E*~(B*~A)))"),
- .INIT(32'hfbfff0ff))
- _al_u4660 (
- .a(_al_u4454_o),
- .b(_al_u4552_o),
- .c(_al_u4635_o),
- .d(_al_u4659_o),
- .e(Zgziu6_lutinv),
- .o(Roohu6));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(D*A*~(E*C)))"),
- .INIT(32'h31331133))
- _al_u4661 (
- .a(Jfmow6),
- .b(_al_u4453_o),
- .c(F93ju6_lutinv),
- .d(Tucow6_lutinv),
- .e(Df3ju6),
- .o(_al_u4661_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u4662 (
- .a(_al_u4439_o),
- .b(_al_u4447_o),
- .c(_al_u4661_o),
- .o(_al_u4662_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*~B))"),
- .INIT(16'h4555))
- _al_u4663 (
- .a(_al_u4460_o),
- .b(Tucow6_lutinv),
- .c(_al_u145_o),
- .d(Xxupw6),
- .o(Hlziu6));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B)"),
- .INIT(8'h47))
- _al_u4664 (
- .a(_al_u3802_o),
- .b(Tucow6_lutinv),
- .c(Vo3ju6_lutinv),
- .o(_al_u4664_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(~D*~A))"),
- .INIT(16'hfca8))
- _al_u4665 (
- .a(Hlziu6),
- .b(_al_u4664_o),
- .c(Piziu6),
- .d(Alziu6),
- .o(_al_u4665_o));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C*A))"),
- .INIT(8'h13))
- _al_u4666 (
- .a(_al_u3800_o),
- .b(_al_u4469_o),
- .c(Tucow6_lutinv),
- .o(Kjziu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~E*~D)*~(C*~A))"),
- .INIT(32'h8c8c8c00))
- _al_u4667 (
- .a(_al_u4527_o),
- .b(_al_u4665_o),
- .c(Xbcow6_lutinv),
- .d(Rjziu6),
- .e(Kjziu6_lutinv),
- .o(_al_u4667_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4668 (
- .a(_al_u4662_o),
- .b(_al_u4667_o),
- .c(_al_u3739_o),
- .o(P4liu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(~D*A))"),
- .INIT(16'hfc54))
- _al_u4669 (
- .a(Xbcow6_lutinv),
- .b(_al_u4664_o),
- .c(_al_u4465_o),
- .d(Rcliu6),
- .o(_al_u4669_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u467 (
- .a(_al_u463_o),
- .b(_al_u464_o),
- .c(_al_u465_o),
- .d(_al_u466_o),
- .o(Gwzhu6));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*~B))"),
- .INIT(8'ha8))
- _al_u4670 (
- .a(_al_u4669_o),
- .b(_al_u4473_o),
- .c(Kjziu6_lutinv),
- .o(_al_u4670_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~C*~(~E*~D*~A)))"),
- .INIT(32'hc0c0c0c4))
- _al_u4671 (
- .a(_al_u4455_o),
- .b(_al_u4670_o),
- .c(Hlziu6),
- .d(_al_u4456_o),
- .e(_al_u4457_o),
- .o(_al_u4671_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4672 (
- .a(_al_u4662_o),
- .b(_al_u4671_o),
- .c(_al_u3694_o),
- .o(Zvkiu6));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(C*~(D*~A)))"),
- .INIT(16'h1303))
- _al_u4673 (
- .a(_al_u4263_o),
- .b(Hlziu6),
- .c(_al_u4479_o),
- .d(_al_u4434_o),
- .o(_al_u4673_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~B)*~(~C*A))"),
- .INIT(16'hf5c4))
- _al_u4674 (
- .a(Xbcow6_lutinv),
- .b(_al_u4664_o),
- .c(Xv6ow6),
- .d(_al_u4484_o),
- .o(_al_u4674_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(~D*~C))"),
- .INIT(16'h4440))
- _al_u4675 (
- .a(_al_u4673_o),
- .b(_al_u4674_o),
- .c(_al_u4487_o),
- .d(Kjziu6_lutinv),
- .o(_al_u4675_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4676 (
- .a(_al_u4662_o),
- .b(_al_u4675_o),
- .c(_al_u3716_o),
- .o(Hsliu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~C)*~(~B*~A))"),
- .INIT(16'heee0))
- _al_u4677 (
- .a(Ukcow6),
- .b(Kjziu6_lutinv),
- .c(_al_u4664_o),
- .d(Gkcow6),
- .o(_al_u4677_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~E*C)*~(~D*~B))"),
- .INIT(32'haa880a08))
- _al_u4678 (
- .a(_al_u4677_o),
- .b(Hlziu6),
- .c(Xbcow6_lutinv),
- .d(Wlcow6),
- .e(Plcow6),
- .o(_al_u4678_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4679 (
- .a(_al_u4662_o),
- .b(_al_u4678_o),
- .c(_al_u3691_o),
- .o(Fzkiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u468 (
- .a(Gwzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[5]));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~C)*~(~B*~A))"),
- .INIT(16'heee0))
- _al_u4680 (
- .a(Yfcow6),
- .b(Kjziu6_lutinv),
- .c(_al_u4664_o),
- .d(Kfcow6),
- .o(_al_u4680_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~D*C)*~(~E*~B))"),
- .INIT(32'haa0a8808))
- _al_u4681 (
- .a(_al_u4680_o),
- .b(Hlziu6),
- .c(Xbcow6_lutinv),
- .d(Tgcow6),
- .e(Ahcow6),
- .o(_al_u4681_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4682 (
- .a(_al_u4662_o),
- .b(_al_u4681_o),
- .c(_al_u3743_o),
- .o(X1liu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4683 (
- .a(_al_u4664_o),
- .b(_al_u4543_o),
- .o(_al_u4683_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(~D*~C)*~(~E*~A))"),
- .INIT(32'h33302220))
- _al_u4684 (
- .a(Hlziu6),
- .b(_al_u4683_o),
- .c(_al_u4547_o),
- .d(Kjziu6_lutinv),
- .e(G6cow6),
- .o(_al_u4684_o));
- AL_MAP_LUT3 #(
- .EQN("(B*~(C*~A))"),
- .INIT(8'h8c))
- _al_u4685 (
- .a(_al_u4539_o),
- .b(_al_u4684_o),
- .c(Xbcow6_lutinv),
- .o(_al_u4685_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4686 (
- .a(_al_u4662_o),
- .b(_al_u4685_o),
- .c(K5liu6),
- .o(K39iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(~D*~A))"),
- .INIT(16'hfca8))
- _al_u4687 (
- .a(Hlziu6),
- .b(P0cow6),
- .c(Kjziu6_lutinv),
- .d(K1cow6),
- .o(_al_u4687_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(~E*~D)*~(C*~A))"),
- .INIT(32'h8c8c8c00))
- _al_u4688 (
- .a(_al_u4515_o),
- .b(_al_u4687_o),
- .c(Xbcow6_lutinv),
- .d(_al_u4664_o),
- .e(B0cow6),
- .o(_al_u4688_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4689 (
- .a(_al_u4662_o),
- .b(_al_u4688_o),
- .c(Kgoiu6),
- .o(Gfniu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u469 (
- .a(Dmqow6),
- .b(Eqqow6),
- .c(vis_r7_o[13]),
- .d(vis_r2_o[13]),
- .o(_al_u469_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~D*~C)*~(~B*~A))"),
- .INIT(16'heee0))
- _al_u4690 (
- .a(_al_u4437_o),
- .b(Kjziu6_lutinv),
- .c(_al_u4664_o),
- .d(_al_u4444_o),
- .o(_al_u4690_o));
- AL_MAP_LUT5 #(
- .EQN("(C*~(E*~B)*~(~D*~A))"),
- .INIT(32'hc080f0a0))
- _al_u4691 (
- .a(H78ow6),
- .b(_al_u4550_o),
- .c(_al_u4690_o),
- .d(Hlziu6),
- .e(Xbcow6_lutinv),
- .o(_al_u4691_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*B*~A)"),
- .INIT(8'hbf))
- _al_u4692 (
- .a(_al_u4662_o),
- .b(_al_u4691_o),
- .c(Bbliu6),
- .o(D39iu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*~A))"),
- .INIT(8'hb0))
- _al_u4693 (
- .a(_al_u2347_o),
- .b(Uzaiu6),
- .c(HREADY),
- .o(_al_u4693_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~D*~C*~B*A)"),
- .INIT(32'h00020000))
- _al_u4694 (
- .a(_al_u4693_o),
- .b(_al_u2347_o),
- .c(_al_u145_o),
- .d(Owoiu6),
- .e(Vzjpw6),
- .o(_al_u4694_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4695 (
- .a(_al_u4694_o),
- .b(_al_u4693_o),
- .c(vis_ipsr_o[0]),
- .d(Xdspw6),
- .o(_al_u4695_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*~A)"),
- .INIT(8'h40))
- _al_u4696 (
- .a(_al_u2347_o),
- .b(Yi8iu6_lutinv),
- .c(HREADY),
- .o(_al_u4696_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*~A))"),
- .INIT(8'h73))
- _al_u4697 (
- .a(_al_u4607_o),
- .b(_al_u4695_o),
- .c(_al_u4696_o),
- .o(W2vhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4698 (
- .a(_al_u4694_o),
- .b(_al_u4693_o),
- .c(vis_ipsr_o[2]),
- .d(Jpmpw6),
- .o(_al_u4698_o));
- AL_MAP_LUT5 #(
- .EQN("~(B*~(D*~(C*~(E*~A))))"),
- .INIT(32'h7f333f33))
- _al_u4699 (
- .a(_al_u4609_o),
- .b(_al_u4698_o),
- .c(_al_u4611_o),
- .d(_al_u4696_o),
- .e(n3708),
- .o(G1vhu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u47 (
- .a(Iqzhu6_lutinv),
- .b(Ymwpw6),
- .o(Z54iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u470 (
- .a(Fnqow6),
- .b(Mnqow6),
- .c(vis_r5_o[13]),
- .d(vis_r4_o[13]),
- .o(I31pw6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4700 (
- .a(_al_u4694_o),
- .b(_al_u4693_o),
- .c(vis_ipsr_o[3]),
- .d(Xiipw6),
- .o(_al_u4700_o));
- AL_MAP_LUT5 #(
- .EQN("~(B*~(D*~(C*~(E*~A))))"),
- .INIT(32'h7f333f33))
- _al_u4701 (
- .a(_al_u4609_o),
- .b(_al_u4700_o),
- .c(_al_u4614_o),
- .d(_al_u4696_o),
- .e(n3708),
- .o(Mrthu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*A))"),
- .INIT(16'h4c5f))
- _al_u4702 (
- .a(_al_u4694_o),
- .b(_al_u4693_o),
- .c(G2iax6),
- .d(vis_ipsr_o[4]),
- .o(_al_u4702_o));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(D*~(B*~(E*~A))))"),
- .INIT(32'h7f0f3f0f))
- _al_u4703 (
- .a(_al_u4609_o),
- .b(_al_u4617_o),
- .c(_al_u4702_o),
- .d(_al_u4696_o),
- .e(n3708),
- .o(Ctthu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*~B)*~(C*A))"),
- .INIT(16'h4c5f))
- _al_u4704 (
- .a(_al_u4694_o),
- .b(_al_u4693_o),
- .c(F4iax6),
- .d(vis_ipsr_o[5]),
- .o(_al_u4704_o));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(D*~(B*~(E*~A))))"),
- .INIT(32'h7f0f3f0f))
- _al_u4705 (
- .a(_al_u4609_o),
- .b(_al_u4620_o),
- .c(_al_u4704_o),
- .d(_al_u4696_o),
- .e(n3708),
- .o(C6vhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4706 (
- .a(_al_u4694_o),
- .b(_al_u4693_o),
- .c(vis_ipsr_o[1]),
- .d(T5mpw6),
- .o(_al_u4706_o));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(D*~(B*~(E*~A))))"),
- .INIT(32'h7f0f3f0f))
- _al_u4707 (
- .a(_al_u4609_o),
- .b(_al_u4631_o),
- .c(_al_u4706_o),
- .d(_al_u4696_o),
- .e(n3708),
- .o(S0vhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4708 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[14]),
- .d(Nxkbx6[16]),
- .o(_al_u4708_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4709 (
- .a(_al_u4635_o),
- .b(_al_u4708_o),
- .c(Cmziu6_lutinv),
- .d(Zsfpw6[14]),
- .o(_al_u4709_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u471 (
- .a(Kmqow6),
- .b(Xpqow6),
- .c(vis_r3_o[13]),
- .d(vis_r6_o[13]),
- .o(_al_u471_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4710 (
- .a(_al_u4556_o),
- .b(_al_u4569_o),
- .c(_al_u4709_o),
- .d(Zgziu6_lutinv),
- .o(Vcohu6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(~C*B))"),
- .INIT(8'h51))
- _al_u4711 (
- .a(_al_u3785_o),
- .b(_al_u3894_o),
- .c(_al_u3924_o),
- .o(V7liu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(~B*~A)*~(C)*~(D)+~(~B*~A)*C*~(D)+~(~(~B*~A))*C*D+~(~B*~A)*C*D)"),
- .INIT(16'hf0ee))
- _al_u4712 (
- .a(V7liu6_lutinv),
- .b(Yi8iu6_lutinv),
- .c(_al_u1392_o),
- .d(Ph8iu6_lutinv),
- .o(_al_u4712_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4713 (
- .a(_al_u4662_o),
- .b(_al_u4685_o),
- .c(_al_u4712_o),
- .d(Yi8iu6_lutinv),
- .o(R5liu6));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
- .INIT(8'h53))
- _al_u4714 (
- .a(Ru2ju6),
- .b(Bbliu6),
- .c(Fpnpw6),
- .o(_al_u4714_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~B*A)*~(C)*~(D)+~(~B*A)*C*~(D)+~(~(~B*A))*C*D+~(~B*A)*C*D)"),
- .INIT(16'hf0dd))
- _al_u4715 (
- .a(_al_u4714_o),
- .b(Yi8iu6_lutinv),
- .c(_al_u1398_o),
- .d(Ph8iu6_lutinv),
- .o(_al_u4715_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4716 (
- .a(_al_u4662_o),
- .b(_al_u4691_o),
- .c(_al_u4715_o),
- .d(Yi8iu6_lutinv),
- .o(Rgoiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4717 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[1]),
- .d(Nxkbx6[3]),
- .o(_al_u4717_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4718 (
- .a(_al_u4635_o),
- .b(_al_u4717_o),
- .c(Cmziu6_lutinv),
- .d(Zsfpw6[1]),
- .o(_al_u4718_o));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(E*~(B*~(D*~A))))"),
- .INIT(32'h7f3f0f0f))
- _al_u4719 (
- .a(_al_u4609_o),
- .b(_al_u4611_o),
- .c(_al_u4718_o),
- .d(n3708),
- .e(Zgziu6_lutinv),
- .o(Ojohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u472 (
- .a(Voqow6),
- .b(Cpqow6),
- .c(vis_r0_o[13]),
- .d(vis_r1_o[13]),
- .o(F51pw6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4720 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[2]),
- .d(Nxkbx6[4]),
- .o(_al_u4720_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4721 (
- .a(_al_u4635_o),
- .b(_al_u4720_o),
- .c(Cmziu6_lutinv),
- .d(Zsfpw6[2]),
- .o(_al_u4721_o));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(E*~(B*~(D*~A))))"),
- .INIT(32'h7f3f0f0f))
- _al_u4722 (
- .a(_al_u4609_o),
- .b(_al_u4614_o),
- .c(_al_u4721_o),
- .d(n3708),
- .e(Zgziu6_lutinv),
- .o(Vjohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4723 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[3]),
- .d(Nxkbx6[5]),
- .o(_al_u4723_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4724 (
- .a(_al_u4635_o),
- .b(_al_u4723_o),
- .c(Cmziu6_lutinv),
- .d(Zsfpw6[3]),
- .o(_al_u4724_o));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(E*~(B*~(D*~A))))"),
- .INIT(32'h7f3f0f0f))
- _al_u4725 (
- .a(_al_u4609_o),
- .b(_al_u4617_o),
- .c(_al_u4724_o),
- .d(n3708),
- .e(Zgziu6_lutinv),
- .o(Ckohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4726 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[4]),
- .d(Zsfpw6[4]),
- .o(Xneow6));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4727 (
- .a(_al_u4635_o),
- .b(Xneow6),
- .c(Egziu6),
- .d(Nxkbx6[6]),
- .o(_al_u4727_o));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(E*~(B*~(D*~A))))"),
- .INIT(32'h7f3f0f0f))
- _al_u4728 (
- .a(_al_u4609_o),
- .b(_al_u4620_o),
- .c(_al_u4727_o),
- .d(n3708),
- .e(Zgziu6_lutinv),
- .o(Jkohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4729 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[0]),
- .d(Nxkbx6[2]),
- .o(_al_u4729_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u473 (
- .a(_al_u469_o),
- .b(I31pw6),
- .c(_al_u471_o),
- .d(F51pw6),
- .o(L20iu6));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4730 (
- .a(_al_u4635_o),
- .b(_al_u4729_o),
- .c(Cmziu6_lutinv),
- .d(Zsfpw6[0]),
- .o(_al_u4730_o));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(E*~(B*~(D*~A))))"),
- .INIT(32'h7f3f0f0f))
- _al_u4731 (
- .a(_al_u4609_o),
- .b(_al_u4631_o),
- .c(_al_u4730_o),
- .d(n3708),
- .e(Zgziu6_lutinv),
- .o(Gtohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4732 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[29]),
- .d(Zsfpw6[29]),
- .o(M2cow6));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4733 (
- .a(_al_u4635_o),
- .b(M2cow6),
- .c(Egziu6),
- .d(Nxkbx6[31]),
- .o(_al_u4733_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4734 (
- .a(_al_u4662_o),
- .b(_al_u4685_o),
- .c(_al_u4733_o),
- .d(Zgziu6_lutinv),
- .o(Fpohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4735 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[27]),
- .d(Zsfpw6[27]),
- .o(Qxbow6));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4736 (
- .a(_al_u4635_o),
- .b(Qxbow6),
- .c(Egziu6),
- .d(Nxkbx6[29]),
- .o(_al_u4736_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4737 (
- .a(_al_u4662_o),
- .b(_al_u4688_o),
- .c(_al_u4736_o),
- .d(Zgziu6_lutinv),
- .o(Mpohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4738 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[30]),
- .d(Zsfpw6[30]),
- .o(S98ow6));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4739 (
- .a(_al_u4635_o),
- .b(S98ow6),
- .c(Egziu6),
- .d(Nxkbx6[32]),
- .o(_al_u4739_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u474 (
- .a(L20iu6),
- .b(Xuzhu6),
- .o(Tgfpw6[13]));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4740 (
- .a(_al_u4662_o),
- .b(_al_u4691_o),
- .c(_al_u4739_o),
- .d(Zgziu6_lutinv),
- .o(Xrohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4741 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[9]),
- .d(Nxkbx6[11]),
- .o(_al_u4741_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4742 (
- .a(_al_u4635_o),
- .b(_al_u4741_o),
- .c(Cmziu6_lutinv),
- .d(Zsfpw6[9]),
- .o(_al_u4742_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4743 (
- .a(_al_u4556_o),
- .b(_al_u4566_o),
- .c(_al_u4742_o),
- .d(Zgziu6_lutinv),
- .o(Hcohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4744 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[8]),
- .d(Zsfpw6[8]),
- .o(Gdjow6));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4745 (
- .a(_al_u4635_o),
- .b(Gdjow6),
- .c(Egziu6),
- .d(Nxkbx6[10]),
- .o(_al_u4745_o));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(E*~(~D*B*~A)))"),
- .INIT(32'hffbf0f0f))
- _al_u4746 (
- .a(_al_u4556_o),
- .b(_al_u4572_o),
- .c(_al_u4745_o),
- .d(_al_u4573_o),
- .e(Zgziu6_lutinv),
- .o(Cdohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4747 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[10]),
- .d(Zsfpw6[10]),
- .o(Eriow6));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4748 (
- .a(_al_u4635_o),
- .b(Eriow6),
- .c(Egziu6),
- .d(Nxkbx6[12]),
- .o(_al_u4748_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4749 (
- .a(_al_u4556_o),
- .b(_al_u4578_o),
- .c(_al_u4748_o),
- .d(Zgziu6_lutinv),
- .o(Jdohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u475 (
- .a(Kmqow6),
- .b(Fnqow6),
- .c(vis_r6_o[6]),
- .d(vis_r5_o[6]),
- .o(_al_u475_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4750 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[7]),
- .d(Zsfpw6[7]),
- .o(Jvdow6));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4751 (
- .a(_al_u4635_o),
- .b(Jvdow6),
- .c(Egziu6),
- .d(Nxkbx6[9]),
- .o(_al_u4751_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4752 (
- .a(_al_u4556_o),
- .b(_al_u4581_o),
- .c(_al_u4751_o),
- .d(Zgziu6_lutinv),
- .o(Xkohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4753 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[11]),
- .d(Zsfpw6[11]),
- .o(Prdow6));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4754 (
- .a(_al_u4635_o),
- .b(Prdow6),
- .c(Egziu6),
- .d(Nxkbx6[13]),
- .o(_al_u4754_o));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(E*~(D*~B*~A)))"),
- .INIT(32'hefff0f0f))
- _al_u4755 (
- .a(_al_u4556_o),
- .b(_al_u4583_o),
- .c(_al_u4754_o),
- .d(_al_u4585_o),
- .e(Zgziu6_lutinv),
- .o(Elohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4756 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[12]),
- .d(Nxkbx6[14]),
- .o(_al_u4756_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4757 (
- .a(_al_u4635_o),
- .b(_al_u4756_o),
- .c(Cmziu6_lutinv),
- .d(Zsfpw6[12]),
- .o(_al_u4757_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4758 (
- .a(_al_u4556_o),
- .b(_al_u4588_o),
- .c(_al_u4757_o),
- .d(Zgziu6_lutinv),
- .o(Llohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4759 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[13]),
- .d(Nxkbx6[15]),
- .o(_al_u4759_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u476 (
- .a(Cpqow6),
- .b(Xpqow6),
- .c(vis_r0_o[6]),
- .d(vis_r3_o[6]),
- .o(_al_u476_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4760 (
- .a(_al_u4635_o),
- .b(_al_u4759_o),
- .c(Cmziu6_lutinv),
- .d(Zsfpw6[13]),
- .o(_al_u4760_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4761 (
- .a(_al_u4556_o),
- .b(_al_u4593_o),
- .c(_al_u4760_o),
- .d(Zgziu6_lutinv),
- .o(Slohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(~B*~A)*~(C)*~(D)+~(~B*~A)*C*~(D)+~(~(~B*~A))*C*D+~(~B*~A)*C*D)"),
- .INIT(16'hf0ee))
- _al_u4762 (
- .a(_al_u3942_o),
- .b(Yi8iu6_lutinv),
- .c(_al_u1406_o),
- .d(Ph8iu6_lutinv),
- .o(_al_u4762_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4763 (
- .a(_al_u4662_o),
- .b(_al_u4667_o),
- .c(_al_u4762_o),
- .d(Yi8iu6_lutinv),
- .o(Sf8iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4764 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[5]),
- .d(Nxkbx6[7]),
- .o(_al_u4764_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4765 (
- .a(_al_u4635_o),
- .b(_al_u4764_o),
- .c(Cmziu6_lutinv),
- .d(Zsfpw6[5]),
- .o(_al_u4765_o));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(E*~(B*~(D*~A))))"),
- .INIT(32'h7f3f0f0f))
- _al_u4766 (
- .a(_al_u4609_o),
- .b(_al_u4623_o),
- .c(_al_u4765_o),
- .d(n3708),
- .e(Zgziu6_lutinv),
- .o(Qkohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4767 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[6]),
- .d(Zsfpw6[6]),
- .o(W48ow6));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4768 (
- .a(_al_u4635_o),
- .b(W48ow6),
- .c(Egziu6),
- .d(Nxkbx6[8]),
- .o(_al_u4768_o));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(E*~(B*~(D*~A))))"),
- .INIT(32'h7f3f0f0f))
- _al_u4769 (
- .a(_al_u4609_o),
- .b(_al_u4627_o),
- .c(_al_u4768_o),
- .d(n3708),
- .e(Zgziu6_lutinv),
- .o(Esohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u477 (
- .a(Voqow6),
- .b(Eqqow6),
- .c(vis_r1_o[6]),
- .d(vis_r7_o[6]),
- .o(_al_u477_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4770 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[28]),
- .d(Zsfpw6[28]),
- .o(Cfziu6));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4771 (
- .a(_al_u4635_o),
- .b(Cfziu6),
- .c(Egziu6),
- .d(Nxkbx6[30]),
- .o(_al_u4771_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4772 (
- .a(_al_u4662_o),
- .b(_al_u4667_o),
- .c(_al_u4771_o),
- .d(Zgziu6_lutinv),
- .o(Hxohu6));
- AL_MAP_LUT5 #(
- .EQN("(E*~(C*~(D*~(B*~A))))"),
- .INIT(32'hbf0f0000))
- _al_u4773 (
- .a(_al_u4439_o),
- .b(_al_u4447_o),
- .c(_al_u4671_o),
- .d(_al_u4661_o),
- .e(Yi8iu6_lutinv),
- .o(_al_u4773_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*~B))"),
- .INIT(16'h4555))
- _al_u4774 (
- .a(_al_u2648_o),
- .b(_al_u685_o),
- .c(D1piu6_lutinv),
- .d(Nxkbx6[1]),
- .o(_al_u4774_o));
- AL_MAP_LUT5 #(
- .EQN("~(~A*(~(B)*~(C)*~(D)*~(E)+B*~(C)*~(D)*~(E)+B*C*~(D)*~(E)+~(B)*C*D*~(E)+B*C*D*~(E)+B*C*~(D)*E+~(B)*C*D*E+B*C*D*E))"),
- .INIT(32'hafbfafba))
- _al_u4775 (
- .a(_al_u4773_o),
- .b(_al_u4607_o),
- .c(_al_u4774_o),
- .d(_al_u2567_o),
- .e(Tgkbx6),
- .o(Gn8iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4776 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[23]),
- .d(Nxkbx6[25]),
- .o(_al_u4776_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4777 (
- .a(_al_u4635_o),
- .b(_al_u4776_o),
- .c(Cmziu6_lutinv),
- .d(Zsfpw6[23]),
- .o(_al_u4777_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4778 (
- .a(_al_u4662_o),
- .b(_al_u4671_o),
- .c(_al_u4777_o),
- .d(Zgziu6_lutinv),
- .o(Pnohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4779 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[24]),
- .d(Nxkbx6[26]),
- .o(_al_u4779_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u478 (
- .a(Dmqow6),
- .b(Mnqow6),
- .c(vis_r2_o[6]),
- .d(vis_r4_o[6]),
- .o(_al_u478_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4780 (
- .a(_al_u4635_o),
- .b(_al_u4779_o),
- .c(Cmziu6_lutinv),
- .d(Zsfpw6[24]),
- .o(_al_u4780_o));
- AL_MAP_LUT4 #(
- .EQN("~(C*~(D*~(B*~A)))"),
- .INIT(16'hbf0f))
- _al_u4781 (
- .a(_al_u4662_o),
- .b(_al_u4675_o),
- .c(_al_u4780_o),
- .d(Zgziu6_lutinv),
- .o(Wnohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4782 (
- .a(Cmziu6_lutinv),
- .b(_al_u4633_o),
- .c(vis_pc_o[25]),
- .d(Zsfpw6[25]),
- .o(Vhcow6));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4783 (
- .a(_al_u4635_o),
- .b(Vhcow6),
- .c(Egziu6),
- .d(Nxkbx6[27]),
- .o(_al_u4783_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~(D*~(C*~A)))"),
- .INIT(16'hbf33))
- _al_u4784 (
- .a(_al_u4662_o),
- .b(_al_u4783_o),
- .c(_al_u4678_o),
- .d(Zgziu6_lutinv),
- .o(Doohu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*~B)*~(D*A))"),
- .INIT(16'h45cf))
- _al_u4785 (
- .a(Egziu6),
- .b(_al_u4633_o),
- .c(vis_pc_o[26]),
- .d(Nxkbx6[28]),
- .o(_al_u4785_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~A*~(D*C))"),
- .INIT(16'h0444))
- _al_u4786 (
- .a(_al_u4635_o),
- .b(_al_u4785_o),
- .c(Cmziu6_lutinv),
- .d(Zsfpw6[26]),
- .o(_al_u4786_o));
- AL_MAP_LUT4 #(
- .EQN("~(B*~(D*~(C*~A)))"),
- .INIT(16'hbf33))
- _al_u4787 (
- .a(_al_u4662_o),
- .b(_al_u4786_o),
- .c(_al_u4681_o),
- .d(Zgziu6_lutinv),
- .o(Koohu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~(B*A))"),
- .INIT(8'h70))
- _al_u4788 (
- .a(Ug8iu6_lutinv),
- .b(P9niu6),
- .c(HREADY),
- .o(_al_u4788_o));
- AL_MAP_LUT5 #(
- .EQN("(B*~(E*~D)*~(C*~A))"),
- .INIT(32'h8c008c8c))
- _al_u4789 (
- .a(_al_u3946_o),
- .b(_al_u4788_o),
- .c(Ug8iu6_lutinv),
- .d(_al_u1384_o),
- .e(Ph8iu6_lutinv),
- .o(_al_u4789_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u479 (
- .a(_al_u475_o),
- .b(_al_u476_o),
- .c(_al_u477_o),
- .d(_al_u478_o),
- .o(Zvzhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u4790 (
- .a(_al_u4788_o),
- .b(vis_apsr_o[0]),
- .o(_al_u4790_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*~(C*~(E*~(B*~A))))"),
- .INIT(32'h00bf000f))
- _al_u4791 (
- .a(_al_u4662_o),
- .b(_al_u4688_o),
- .c(_al_u4789_o),
- .d(_al_u4790_o),
- .e(Yi8iu6_lutinv),
- .o(Glphu6));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~B*~A)"),
- .INIT(8'hfe))
- _al_u48 (
- .a(D2opw6),
- .b(Yzlpw6),
- .c(Zgfax6),
- .o(T33iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u480 (
- .a(Zvzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[6]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u481 (
- .a(Fnqow6),
- .b(Mnqow6),
- .c(vis_r5_o[14]),
- .d(vis_r4_o[14]),
- .o(Vl0pw6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u482 (
- .a(Kmqow6),
- .b(Eqqow6),
- .c(vis_r6_o[14]),
- .d(vis_r7_o[14]),
- .o(_al_u482_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u483 (
- .a(Voqow6),
- .b(Cpqow6),
- .c(vis_r0_o[14]),
- .d(vis_r1_o[14]),
- .o(Sn0pw6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u484 (
- .a(Dmqow6),
- .b(Xpqow6),
- .c(vis_r3_o[14]),
- .d(vis_r2_o[14]),
- .o(_al_u484_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u485 (
- .a(Vl0pw6),
- .b(_al_u482_o),
- .c(Sn0pw6),
- .d(_al_u484_o),
- .o(E20iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u486 (
- .a(E20iu6),
- .b(Xuzhu6),
- .o(Tgfpw6[14]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u487 (
- .a(Dmqow6),
- .b(Mnqow6),
- .c(vis_r2_o[7]),
- .d(vis_r4_o[7]),
- .o(_al_u487_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u488 (
- .a(Kmqow6),
- .b(Cpqow6),
- .c(vis_r0_o[7]),
- .d(vis_r6_o[7]),
- .o(_al_u488_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u489 (
- .a(Fnqow6),
- .b(Eqqow6),
- .c(vis_r5_o[7]),
- .d(vis_r7_o[7]),
- .o(_al_u489_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u49 (
- .a(vis_ipsr_o[4]),
- .b(vis_ipsr_o[5]),
- .o(H9row6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u490 (
- .a(Voqow6),
- .b(Xpqow6),
- .c(vis_r1_o[7]),
- .d(vis_r3_o[7]),
- .o(_al_u490_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u491 (
- .a(_al_u487_o),
- .b(_al_u488_o),
- .c(_al_u489_o),
- .d(_al_u490_o),
- .o(Svzhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u492 (
- .a(Svzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[7]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u493 (
- .a(Fnqow6),
- .b(Xpqow6),
- .c(vis_r5_o[15]),
- .d(vis_r3_o[15]),
- .o(_al_u493_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u494 (
- .a(Voqow6),
- .b(Eqqow6),
- .c(vis_r7_o[15]),
- .d(vis_r1_o[15]),
- .o(_al_u494_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u495 (
- .a(Cpqow6),
- .b(Mnqow6),
- .c(vis_r4_o[15]),
- .d(vis_r0_o[15]),
- .o(_al_u495_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u496 (
- .a(Kmqow6),
- .b(Dmqow6),
- .c(vis_r6_o[15]),
- .d(vis_r2_o[15]),
- .o(P40pw6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u497 (
- .a(_al_u493_o),
- .b(_al_u494_o),
- .c(_al_u495_o),
- .d(P40pw6),
- .o(X10iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u498 (
- .a(X10iu6),
- .b(Xuzhu6),
- .o(Tgfpw6[15]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u499 (
- .a(Dmqow6),
- .b(Mnqow6),
- .c(vis_r2_o[17]),
- .d(vis_r4_o[17]),
- .o(_al_u499_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u5 (
- .a(Vzjpw6),
- .b(W2jax6),
- .o(Vnfpw6[7]));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u50 (
- .a(H9row6_lutinv),
- .b(vis_ipsr_o[2]),
- .c(vis_ipsr_o[3]),
- .o(T8row6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u500 (
- .a(Voqow6),
- .b(Xpqow6),
- .c(vis_r3_o[17]),
- .d(vis_r1_o[17]),
- .o(_al_u500_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u501 (
- .a(Fnqow6),
- .b(Eqqow6),
- .c(vis_r7_o[17]),
- .d(vis_r5_o[17]),
- .o(_al_u501_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u502 (
- .a(Kmqow6),
- .b(Cpqow6),
- .c(vis_r6_o[17]),
- .d(vis_r0_o[17]),
- .o(_al_u502_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u503 (
- .a(_al_u499_o),
- .b(_al_u500_o),
- .c(_al_u501_o),
- .d(_al_u502_o),
- .o(J10iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u504 (
- .a(J10iu6),
- .b(Xuzhu6),
- .o(Tgfpw6[17]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u505 (
- .a(Dmqow6),
- .b(Cpqow6),
- .c(vis_r0_o[1]),
- .d(vis_r2_o[1]),
- .o(Hmzow6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u506 (
- .a(Mnqow6),
- .b(Eqqow6),
- .c(vis_r7_o[1]),
- .d(vis_r4_o[1]),
- .o(_al_u506_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u507 (
- .a(Voqow6),
- .b(Xpqow6),
- .c(vis_r3_o[1]),
- .d(vis_r1_o[1]),
- .o(_al_u507_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u508 (
- .a(Kmqow6),
- .b(Fnqow6),
- .c(vis_r6_o[1]),
- .d(vis_r5_o[1]),
- .o(_al_u508_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u509 (
- .a(Hmzow6),
- .b(_al_u506_o),
- .c(_al_u507_o),
- .d(_al_u508_o),
- .o(O00iu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u51 (
- .a(T8row6),
- .b(vis_ipsr_o[1]),
- .o(B6cpw6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u510 (
- .a(O00iu6),
- .b(Xuzhu6),
- .o(Tgfpw6[1]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u511 (
- .a(Voqow6),
- .b(Dmqow6),
- .c(vis_r1_o[18]),
- .d(vis_r2_o[18]),
- .o(_al_u511_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u512 (
- .a(Fnqow6),
- .b(Cpqow6),
- .c(vis_r0_o[18]),
- .d(vis_r5_o[18]),
- .o(_al_u512_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u513 (
- .a(Kmqow6),
- .b(Xpqow6),
- .c(vis_r3_o[18]),
- .d(vis_r6_o[18]),
- .o(_al_u513_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u514 (
- .a(Mnqow6),
- .b(Eqqow6),
- .c(vis_r7_o[18]),
- .d(vis_r4_o[18]),
- .o(_al_u514_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u515 (
- .a(_al_u511_o),
- .b(_al_u512_o),
- .c(_al_u513_o),
- .d(_al_u514_o),
- .o(C10iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u516 (
- .a(C10iu6),
- .b(Xuzhu6),
- .o(Tgfpw6[18]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u517 (
- .a(Voqow6),
- .b(Kmqow6),
- .c(vis_r6_o[19]),
- .d(vis_r1_o[19]),
- .o(_al_u517_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u518 (
- .a(Dmqow6),
- .b(Eqqow6),
- .c(vis_r7_o[19]),
- .d(vis_r2_o[19]),
- .o(_al_u518_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u519 (
- .a(Fnqow6),
- .b(Cpqow6),
- .c(vis_r0_o[19]),
- .d(vis_r5_o[19]),
- .o(_al_u519_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u52 (
- .a(T24iu6),
- .b(M8ipw6),
- .o(F24iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u520 (
- .a(Xpqow6),
- .b(Mnqow6),
- .c(vis_r3_o[19]),
- .d(vis_r4_o[19]),
- .o(_al_u520_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u521 (
- .a(_al_u517_o),
- .b(_al_u518_o),
- .c(_al_u519_o),
- .d(_al_u520_o),
- .o(V00iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u522 (
- .a(V00iu6),
- .b(Xuzhu6),
- .o(Tgfpw6[19]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u523 (
- .a(Dmqow6),
- .b(Fnqow6),
- .c(vis_r5_o[20]),
- .d(vis_r2_o[20]),
- .o(_al_u523_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u524 (
- .a(Voqow6),
- .b(Kmqow6),
- .c(vis_r6_o[20]),
- .d(vis_r1_o[20]),
- .o(_al_u524_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u525 (
- .a(Mnqow6),
- .b(Eqqow6),
- .c(vis_r7_o[20]),
- .d(vis_r4_o[20]),
- .o(_al_u525_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u526 (
- .a(Cpqow6),
- .b(Xpqow6),
- .c(vis_r3_o[20]),
- .d(vis_r0_o[20]),
- .o(_al_u526_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u527 (
- .a(_al_u523_o),
- .b(_al_u524_o),
- .c(_al_u525_o),
- .d(_al_u526_o),
- .o(H00iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u528 (
- .a(H00iu6),
- .b(Xuzhu6),
- .o(Tgfpw6[20]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u529 (
- .a(Fnqow6),
- .b(Mnqow6),
- .c(vis_r5_o[21]),
- .d(vis_r4_o[21]),
- .o(Klyow6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u53 (
- .a(S2cbx6),
- .b(Stkpw6),
- .c(Wt3qw6),
- .d(Zwnpw6),
- .o(_al_u53_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u530 (
- .a(Dmqow6),
- .b(Eqqow6),
- .c(vis_r7_o[21]),
- .d(vis_r2_o[21]),
- .o(_al_u530_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u531 (
- .a(Cpqow6),
- .b(Xpqow6),
- .c(vis_r3_o[21]),
- .d(vis_r0_o[21]),
- .o(_al_u531_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u532 (
- .a(Voqow6),
- .b(Kmqow6),
- .c(vis_r6_o[21]),
- .d(vis_r1_o[21]),
- .o(_al_u532_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u533 (
- .a(Klyow6),
- .b(_al_u530_o),
- .c(_al_u531_o),
- .d(_al_u532_o),
- .o(A00iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u534 (
- .a(A00iu6),
- .b(Xuzhu6),
- .o(Tgfpw6[21]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u535 (
- .a(Xpqow6),
- .b(Mnqow6),
- .c(vis_r4_o[22]),
- .d(vis_r3_o[22]),
- .o(_al_u535_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u536 (
- .a(Voqow6),
- .b(Cpqow6),
- .c(vis_r0_o[22]),
- .d(vis_r1_o[22]),
- .o(Wdyow6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u537 (
- .a(Dmqow6),
- .b(Eqqow6),
- .c(vis_r7_o[22]),
- .d(vis_r2_o[22]),
- .o(_al_u537_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u538 (
- .a(Kmqow6),
- .b(Fnqow6),
- .c(vis_r5_o[22]),
- .d(vis_r6_o[22]),
- .o(_al_u538_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u539 (
- .a(_al_u535_o),
- .b(Wdyow6),
- .c(_al_u537_o),
- .d(_al_u538_o),
- .o(Tzzhu6));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*~B*A)"),
- .INIT(32'h00000002))
- _al_u54 (
- .a(_al_u53_o),
- .b(C72qw6),
- .c(J4cbx6),
- .d(Kn2qw6),
- .e(Nfqpw6),
- .o(I13iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u540 (
- .a(Tzzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[22]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u541 (
- .a(Voqow6),
- .b(Xpqow6),
- .c(vis_r1_o[23]),
- .d(vis_r3_o[23]),
- .o(_al_u541_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u542 (
- .a(Dmqow6),
- .b(Eqqow6),
- .c(vis_r2_o[23]),
- .d(vis_r7_o[23]),
- .o(_al_u542_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u543 (
- .a(Fnqow6),
- .b(Cpqow6),
- .c(vis_r5_o[23]),
- .d(vis_r0_o[23]),
- .o(_al_u543_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u544 (
- .a(Kmqow6),
- .b(Mnqow6),
- .c(vis_r4_o[23]),
- .d(vis_r6_o[23]),
- .o(_al_u544_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u545 (
- .a(_al_u541_o),
- .b(_al_u542_o),
- .c(_al_u543_o),
- .d(_al_u544_o),
- .o(Mzzhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u546 (
- .a(Mzzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[23]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u547 (
- .a(Fnqow6),
- .b(Eqqow6),
- .c(vis_r7_o[24]),
- .d(vis_r5_o[24]),
- .o(_al_u547_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u548 (
- .a(Kmqow6),
- .b(Cpqow6),
- .c(vis_r6_o[24]),
- .d(vis_r0_o[24]),
- .o(_al_u548_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u549 (
- .a(Voqow6),
- .b(Mnqow6),
- .c(vis_r4_o[24]),
- .d(vis_r1_o[24]),
- .o(_al_u549_o));
- AL_MAP_LUT4 #(
- .EQN("(B*~(D*C*~A))"),
- .INIT(16'h8ccc))
- _al_u55 (
- .a(Cjqpw6),
- .b(Okfax6),
- .c(Qwfax6),
- .d(Utqpw6),
- .o(Qq3iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u550 (
- .a(Dmqow6),
- .b(Xpqow6),
- .c(vis_r3_o[24]),
- .d(vis_r2_o[24]),
- .o(_al_u550_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u551 (
- .a(_al_u547_o),
- .b(_al_u548_o),
- .c(_al_u549_o),
- .d(_al_u550_o),
- .o(Fzzhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u552 (
- .a(Fzzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[24]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u553 (
- .a(Fnqow6),
- .b(Eqqow6),
- .c(vis_r7_o[8]),
- .d(vis_r5_o[8]),
- .o(_al_u553_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u554 (
- .a(Cpqow6),
- .b(Mnqow6),
- .c(vis_r0_o[8]),
- .d(vis_r4_o[8]),
- .o(_al_u554_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u555 (
- .a(Voqow6),
- .b(Kmqow6),
- .c(vis_r6_o[8]),
- .d(vis_r1_o[8]),
- .o(_al_u555_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u556 (
- .a(Dmqow6),
- .b(Xpqow6),
- .c(vis_r3_o[8]),
- .d(vis_r2_o[8]),
- .o(_al_u556_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u557 (
- .a(_al_u553_o),
- .b(_al_u554_o),
- .c(_al_u555_o),
- .d(_al_u556_o),
- .o(Lvzhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u558 (
- .a(Lvzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[8]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u559 (
- .a(Dmqow6),
- .b(Fnqow6),
- .c(vis_r2_o[25]),
- .d(vis_r5_o[25]),
- .o(_al_u559_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*B*~A)"),
- .INIT(8'hfb))
- _al_u56 (
- .a(Pexpw6),
- .b(vis_tbit_o),
- .c(Sz3qw6),
- .o(Wz4iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u560 (
- .a(Voqow6),
- .b(Cpqow6),
- .c(vis_r0_o[25]),
- .d(vis_r1_o[25]),
- .o(Ecxow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u561 (
- .a(Kmqow6),
- .b(Mnqow6),
- .c(vis_r6_o[25]),
- .d(vis_r4_o[25]),
- .o(_al_u561_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u562 (
- .a(Xpqow6),
- .b(Eqqow6),
- .c(vis_r3_o[25]),
- .d(vis_r7_o[25]),
- .o(Xbxow6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u563 (
- .a(_al_u559_o),
- .b(Ecxow6),
- .c(_al_u561_o),
- .d(Xbxow6),
- .o(Yyzhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u564 (
- .a(Yyzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[25]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u565 (
- .a(Voqow6),
- .b(Mnqow6),
- .c(vis_r1_o[9]),
- .d(vis_r4_o[9]),
- .o(_al_u565_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u566 (
- .a(Kmqow6),
- .b(Dmqow6),
- .c(vis_r6_o[9]),
- .d(vis_r2_o[9]),
- .o(_al_u566_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u567 (
- .a(Xpqow6),
- .b(Eqqow6),
- .c(vis_r3_o[9]),
- .d(vis_r7_o[9]),
- .o(V3xow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u568 (
- .a(Fnqow6),
- .b(Cpqow6),
- .c(vis_r5_o[9]),
- .d(vis_r0_o[9]),
- .o(_al_u568_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u569 (
- .a(_al_u565_o),
- .b(_al_u566_o),
- .c(V3xow6),
- .d(_al_u568_o),
- .o(Evzhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u57 (
- .a(Di3qw6),
- .b(Le2qw6),
- .o(Q4wiu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u570 (
- .a(Evzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[9]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u571 (
- .a(Dmqow6),
- .b(Xpqow6),
- .c(vis_r3_o[26]),
- .d(vis_r2_o[26]),
- .o(_al_u571_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u572 (
- .a(Voqow6),
- .b(Kmqow6),
- .c(vis_r6_o[26]),
- .d(vis_r1_o[26]),
- .o(_al_u572_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u573 (
- .a(Fnqow6),
- .b(Mnqow6),
- .c(vis_r4_o[26]),
- .d(vis_r5_o[26]),
- .o(Zrwow6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u574 (
- .a(Cpqow6),
- .b(Eqqow6),
- .c(vis_r7_o[26]),
- .d(vis_r0_o[26]),
- .o(_al_u574_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u575 (
- .a(_al_u571_o),
- .b(_al_u572_o),
- .c(Zrwow6),
- .d(_al_u574_o),
- .o(Ryzhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u576 (
- .a(Ryzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[26]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u577 (
- .a(Fnqow6),
- .b(Xpqow6),
- .c(vis_r5_o[27]),
- .d(vis_r3_o[27]),
- .o(_al_u577_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u578 (
- .a(Dmqow6),
- .b(Cpqow6),
- .c(vis_r0_o[27]),
- .d(vis_r2_o[27]),
- .o(_al_u578_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u579 (
- .a(Mnqow6),
- .b(Eqqow6),
- .c(vis_r7_o[27]),
- .d(vis_r4_o[27]),
- .o(_al_u579_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u58 (
- .a(Q4wiu6_lutinv),
- .b(D43qw6),
- .c(Pe7ax6),
- .o(Vowiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u580 (
- .a(Voqow6),
- .b(Kmqow6),
- .c(vis_r6_o[27]),
- .d(vis_r1_o[27]),
- .o(_al_u580_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u581 (
- .a(_al_u577_o),
- .b(_al_u578_o),
- .c(_al_u579_o),
- .d(_al_u580_o),
- .o(Kyzhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u582 (
- .a(Kyzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[27]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u583 (
- .a(Xpqow6),
- .b(Eqqow6),
- .c(vis_r3_o[28]),
- .d(vis_r7_o[28]),
- .o(R9wow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u584 (
- .a(Voqow6),
- .b(Dmqow6),
- .c(vis_r1_o[28]),
- .d(vis_r2_o[28]),
- .o(_al_u584_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u585 (
- .a(Cpqow6),
- .b(Mnqow6),
- .c(vis_r0_o[28]),
- .d(vis_r4_o[28]),
- .o(_al_u585_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u586 (
- .a(Kmqow6),
- .b(Fnqow6),
- .c(vis_r5_o[28]),
- .d(vis_r6_o[28]),
- .o(_al_u586_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u587 (
- .a(R9wow6),
- .b(_al_u584_o),
- .c(_al_u585_o),
- .d(_al_u586_o),
- .o(Dyzhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u588 (
- .a(Dyzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[28]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u589 (
- .a(Cpqow6),
- .b(Eqqow6),
- .c(vis_r0_o[30]),
- .d(vis_r7_o[30]),
- .o(_al_u589_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u59 (
- .a(Hw8ax6),
- .b(Sqwpw6),
- .c(Zm8ax6),
- .o(Cpwiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u590 (
- .a(Voqow6),
- .b(Fnqow6),
- .c(vis_r5_o[30]),
- .d(vis_r1_o[30]),
- .o(_al_u590_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u591 (
- .a(Kmqow6),
- .b(Xpqow6),
- .c(vis_r6_o[30]),
- .d(vis_r3_o[30]),
- .o(_al_u591_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u592 (
- .a(Dmqow6),
- .b(Mnqow6),
- .c(vis_r2_o[30]),
- .d(vis_r4_o[30]),
- .o(_al_u592_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u593 (
- .a(_al_u589_o),
- .b(_al_u590_o),
- .c(_al_u591_o),
- .d(_al_u592_o),
- .o(Ixzhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u594 (
- .a(Ixzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[30]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u595 (
- .a(Fnqow6),
- .b(Mnqow6),
- .c(vis_r4_o[31]),
- .d(vis_r5_o[31]),
- .o(Invow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u596 (
- .a(Kmqow6),
- .b(Xpqow6),
- .c(vis_r6_o[31]),
- .d(vis_r3_o[31]),
- .o(_al_u596_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u597 (
- .a(Voqow6),
- .b(Cpqow6),
- .c(vis_r0_o[31]),
- .d(vis_r1_o[31]),
- .o(Fpvow6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u598 (
- .a(Dmqow6),
- .b(Eqqow6),
- .c(vis_r2_o[31]),
- .d(vis_r7_o[31]),
- .o(_al_u598_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u599 (
- .a(Invow6),
- .b(_al_u596_o),
- .c(Fpvow6),
- .d(_al_u598_o),
- .o(Bxzhu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u6 (
- .a(Vzjpw6),
- .b(Wwiax6),
- .o(Vnfpw6[4]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u60 (
- .a(Vowiu6),
- .b(Cpwiu6),
- .o(Ve7iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u600 (
- .a(Bxzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[31]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u601 (
- .a(Fnqow6),
- .b(Xpqow6),
- .c(vis_r5_o[29]),
- .d(vis_r3_o[29]),
- .o(_al_u601_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u602 (
- .a(Mnqow6),
- .b(Eqqow6),
- .c(vis_r7_o[29]),
- .d(vis_r4_o[29]),
- .o(_al_u602_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u603 (
- .a(Voqow6),
- .b(Dmqow6),
- .c(vis_r1_o[29]),
- .d(vis_r2_o[29]),
- .o(_al_u603_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u604 (
- .a(Kmqow6),
- .b(Cpqow6),
- .c(vis_r0_o[29]),
- .d(vis_r6_o[29]),
- .o(_al_u604_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u605 (
- .a(_al_u601_o),
- .b(_al_u602_o),
- .c(_al_u603_o),
- .d(_al_u604_o),
- .o(Wxzhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u606 (
- .a(Wxzhu6),
- .b(Xuzhu6),
- .o(Tgfpw6[29]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u607 (
- .a(Dmqow6),
- .b(Eqqow6),
- .c(vis_r7_o[16]),
- .d(vis_r2_o[16]),
- .o(_al_u607_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u608 (
- .a(Voqow6),
- .b(Mnqow6),
- .c(vis_r1_o[16]),
- .d(vis_r4_o[16]),
- .o(_al_u608_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u609 (
- .a(Cpqow6),
- .b(Xpqow6),
- .c(vis_r0_o[16]),
- .d(vis_r3_o[16]),
- .o(_al_u609_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u61 (
- .a(Ve7iu6),
- .b(Dg2qw6),
- .o(Oe7iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u610 (
- .a(Kmqow6),
- .b(Fnqow6),
- .c(vis_r5_o[16]),
- .d(vis_r6_o[16]),
- .o(_al_u610_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u611 (
- .a(_al_u607_o),
- .b(_al_u608_o),
- .c(_al_u609_o),
- .d(_al_u610_o),
- .o(Q10iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u612 (
- .a(Q10iu6),
- .b(Xuzhu6),
- .o(Tgfpw6[16]));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u613 (
- .a(Golpw6),
- .b(Zslpw6),
- .o(Yn3iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(A*~(D*~(~C*B)))"),
- .INIT(16'h08aa))
- _al_u614 (
- .a(Yn3iu6_lutinv),
- .b(Krlpw6),
- .c(Oulpw6),
- .d(Vplpw6),
- .o(_al_u614_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*~C*~B))"),
- .INIT(16'h5554))
- _al_u615 (
- .a(_al_u614_o),
- .b(A5ipw6),
- .c(I0opw6),
- .d(Ry2qw6),
- .o(_al_u615_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u616 (
- .a(Kalpw6),
- .b(Yklpw6),
- .o(_al_u616_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u617 (
- .a(_al_u616_o),
- .b(Jflpw6),
- .o(Iyyhu6));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*C*B*~A)"),
- .INIT(32'h00000040))
- _al_u618 (
- .a(_al_u615_o),
- .b(Iyyhu6),
- .c(U5yhu6),
- .d(Bclpw6),
- .e(Sdlpw6),
- .o(Fj1iu6));
- AL_MAP_LUT2 #(
- .EQN("(B@A)"),
- .INIT(4'h6))
- _al_u619 (
- .a(Rilpw6),
- .b(Y8lpw6),
- .o(Vp3iu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u62 (
- .a(R9yax6),
- .b(W5ypw6),
- .c(Ztupw6),
- .o(Jcpow6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u620 (
- .a(Fj1iu6),
- .b(Vp3iu6_lutinv),
- .c(Krlpw6),
- .d(Zslpw6),
- .o(_al_u620_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u621 (
- .a(_al_u620_o),
- .b(Golpw6),
- .o(Tezhu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u622 (
- .a(Tezhu6),
- .b(Oulpw6),
- .c(Vplpw6),
- .o(n332));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u623 (
- .a(_al_u620_o),
- .b(Golpw6),
- .c(Vplpw6),
- .o(n327));
- AL_MAP_LUT2 #(
- .EQN("(B@A)"),
- .INIT(4'h6))
- _al_u624 (
- .a(Qwfax6),
- .b(Utqpw6),
- .o(Z63iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*~A)"),
- .INIT(16'h4000))
- _al_u625 (
- .a(_al_u614_o),
- .b(Z63iu6_lutinv),
- .c(Qynpw6),
- .d(Ryfax6),
- .o(_al_u625_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u626 (
- .a(Golpw6),
- .b(Vplpw6),
- .o(Pyyhu6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~C*~(B*A))"),
- .INIT(8'h07))
- _al_u627 (
- .a(Pyyhu6_lutinv),
- .b(Krlpw6),
- .c(Zslpw6),
- .o(_al_u627_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(B*~(D*C)))"),
- .INIT(16'h5111))
- _al_u628 (
- .a(_al_u615_o),
- .b(_al_u625_o),
- .c(_al_u627_o),
- .d(B7lpw6),
- .o(Mmyhu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u629 (
- .a(_al_u616_o),
- .b(Sdlpw6),
- .o(_al_u629_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u63 (
- .a(I5xax6),
- .b(Yzspw6),
- .o(K5eiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u630 (
- .a(Bclpw6),
- .b(Jflpw6),
- .o(_al_u630_o));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u631 (
- .a(_al_u629_o),
- .b(_al_u630_o),
- .c(Rilpw6),
- .o(_al_u631_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u632 (
- .a(_al_u631_o),
- .b(U5yhu6),
- .o(_al_u632_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u633 (
- .a(_al_u632_o),
- .b(_al_u627_o),
- .c(Krlpw6),
- .o(U73iu6));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*~A)"),
- .INIT(16'h0400))
- _al_u634 (
- .a(D43qw6),
- .b(Di3qw6),
- .c(Le2qw6),
- .d(Pe7ax6),
- .o(Ymwiu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u635 (
- .a(Ymwiu6),
- .b(Hw8ax6),
- .c(Sqwpw6),
- .d(Zm8ax6),
- .o(Fgpiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u636 (
- .a(Fgpiu6),
- .b(Dg2qw6),
- .o(Scbiu6));
- AL_MAP_LUT5 #(
- .EQN("~(~(D*C)*~(E*~(B*A)))"),
- .INIT(32'hf777f000))
- _al_u637 (
- .a(Scbiu6),
- .b(O34iu6),
- .c(_al_u394_o),
- .d(Vzupw6),
- .e(Xnbax6),
- .o(X6vhu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u638 (
- .a(R3vpw6),
- .b(Ufopw6),
- .o(_al_u638_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u639 (
- .a(_al_u638_o),
- .b(Yvjpw6),
- .o(Us2ju6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u64 (
- .a(Jcpow6),
- .b(K5eiu6),
- .o(Hqgiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u640 (
- .a(Us2ju6),
- .b(T1vpw6),
- .o(_al_u640_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u641 (
- .a(Aujpw6),
- .b(Xxupw6),
- .o(T23ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~C*~(D*B)))"),
- .INIT(16'ha8a0))
- _al_u642 (
- .a(_al_u640_o),
- .b(_al_u153_o),
- .c(D6kiu6_lutinv),
- .d(T23ju6_lutinv),
- .o(_al_u642_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u643 (
- .a(_al_u158_o),
- .b(T1vpw6),
- .c(Vzupw6),
- .d(Yvjpw6),
- .o(_al_u643_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u644 (
- .a(_al_u159_o),
- .b(Ufopw6),
- .o(Ru3pw6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C*A))"),
- .INIT(8'h13))
- _al_u645 (
- .a(Bciax6),
- .b(P5vpw6),
- .c(SLEEPHOLDACKn),
- .o(Llaow6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(~D*C*B))"),
- .INIT(16'h5515))
- _al_u646 (
- .a(_al_u643_o),
- .b(Ru3pw6_lutinv),
- .c(Llaow6_lutinv),
- .d(Vygax6),
- .o(Yavow6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u647 (
- .a(_al_u158_o),
- .b(Ydopw6),
- .o(Qe8iu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u648 (
- .a(P5vpw6),
- .b(Xxupw6),
- .o(_al_u648_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u649 (
- .a(Aujpw6),
- .b(T1vpw6),
- .o(Ldoiu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u65 (
- .a(Hqgiu6),
- .b(C1wpw6),
- .o(n1200));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(D*C*A))"),
- .INIT(16'h1333))
- _al_u650 (
- .a(Qe8iu6_lutinv),
- .b(_al_u648_o),
- .c(Ldoiu6_lutinv),
- .d(Hirpw6),
- .o(Lv7ow6));
- AL_MAP_LUT5 #(
- .EQN("(D*B*~(~E*~(C*A)))"),
- .INIT(32'hcc008000))
- _al_u651 (
- .a(_al_u153_o),
- .b(_al_u148_o),
- .c(_al_u142_o),
- .d(_al_u145_o),
- .e(P5vpw6),
- .o(_al_u651_o));
- AL_MAP_LUT4 #(
- .EQN("~(~D*C*B*~A)"),
- .INIT(16'hffbf))
- _al_u652 (
- .a(_al_u642_o),
- .b(Yavow6),
- .c(Lv7ow6),
- .d(_al_u651_o),
- .o(Fnpiu6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u653 (
- .a(_al_u130_o),
- .b(Jflpw6),
- .c(Kalpw6),
- .o(_al_u653_o));
- AL_MAP_LUT5 #(
- .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
- .INIT(32'hf4f45f5d))
- _al_u654 (
- .a(_al_u616_o),
- .b(Bclpw6),
- .c(Jflpw6),
- .d(Krlpw6),
- .e(Sdlpw6),
- .o(_al_u654_o));
- AL_MAP_LUT4 #(
- .EQN("(C*A*~(~D*B))"),
- .INIT(16'ha020))
- _al_u655 (
- .a(U03iu6),
- .b(_al_u653_o),
- .c(_al_u654_o),
- .d(Yklpw6),
- .o(_al_u655_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*(A*~(C)*~(D)+A*C*~(D)+~(A)*C*D+A*C*D))"),
- .INIT(16'h3022))
- _al_u656 (
- .a(_al_u655_o),
- .b(Ahlpw6),
- .c(Krlpw6),
- .d(Pmlpw6),
- .o(Tw2iu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u657 (
- .a(Vp3iu6_lutinv),
- .b(Krlpw6),
- .o(Yi1iu6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*A)"),
- .INIT(16'h2000))
- _al_u658 (
- .a(Fj1iu6),
- .b(Yi1iu6_lutinv),
- .c(Qynpw6),
- .d(Zslpw6),
- .o(_al_u658_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u659 (
- .a(Cjqpw6),
- .b(Ehqpw6),
- .c(T0ipw6),
- .d(CDBGPWRUPREQ),
- .o(_al_u659_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u66 (
- .a(I5xax6),
- .b(Yzspw6),
- .o(Vynow6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u660 (
- .a(_al_u658_o),
- .b(_al_u659_o),
- .o(_al_u660_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*~B))"),
- .INIT(16'h4555))
- _al_u661 (
- .a(_al_u660_o),
- .b(Cjqpw6),
- .c(Qwfax6),
- .d(Utqpw6),
- .o(C53iu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u662 (
- .a(Ufopw6),
- .b(Vzupw6),
- .o(Cc2ju6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u663 (
- .a(Cc2ju6_lutinv),
- .b(R3vpw6),
- .o(Xc2ju6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u664 (
- .a(Dzvpw6),
- .b(M6kax6),
- .o(Fb9pw6_lutinv));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u665 (
- .a(Fb9pw6_lutinv),
- .b(Shopw6),
- .c(Wxjpw6),
- .o(_al_u665_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*~(E*C)*~(D*A))"),
- .INIT(32'h01031133))
- _al_u666 (
- .a(Xc2ju6_lutinv),
- .b(_al_u665_o),
- .c(Vo3ju6_lutinv),
- .d(_al_u142_o),
- .e(P5vpw6),
- .o(_al_u666_o));
- AL_MAP_LUT5 #(
- .EQN("(~D*B*~(~A*~(~E*C)))"),
- .INIT(32'h008800c8))
- _al_u667 (
- .a(Md0iu6_lutinv),
- .b(Frziu6_lutinv),
- .c(R3vpw6),
- .d(Ufopw6),
- .e(Ydopw6),
- .o(_al_u667_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u668 (
- .a(P5vpw6),
- .b(T1vpw6),
- .o(Mfjiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u669 (
- .a(Mfjiu6),
- .b(Vzupw6),
- .o(_al_u669_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*A)"),
- .INIT(16'h0200))
- _al_u67 (
- .a(Vynow6_lutinv),
- .b(R9yax6),
- .c(W5ypw6),
- .d(Ztupw6),
- .o(M6eiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u670 (
- .a(P5vpw6),
- .b(Yvjpw6),
- .o(_al_u670_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u671 (
- .a(Hirpw6),
- .b(Xxupw6),
- .o(Btoiu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(~B*A*~(~D*~(~E*~C)))"),
- .INIT(32'h22002202))
- _al_u672 (
- .a(_al_u666_o),
- .b(_al_u667_o),
- .c(_al_u669_o),
- .d(_al_u670_o),
- .e(Btoiu6_lutinv),
- .o(_al_u672_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u673 (
- .a(Fb9pw6_lutinv),
- .b(Shopw6),
- .c(Wxjpw6),
- .o(Jo4ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*~A)"),
- .INIT(16'h0400))
- _al_u674 (
- .a(Dzvpw6),
- .b(M6kax6),
- .c(Shopw6),
- .d(Wxjpw6),
- .o(Wr4ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u675 (
- .a(Jo4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r10_o[0]),
- .d(vis_r14_o[0]),
- .o(_al_u675_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*A)"),
- .INIT(8'h02))
- _al_u676 (
- .a(Fb9pw6_lutinv),
- .b(Shopw6),
- .c(Wxjpw6),
- .o(Gq4ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*~A)"),
- .INIT(16'h4000))
- _al_u677 (
- .a(Dzvpw6),
- .b(M6kax6),
- .c(Shopw6),
- .d(Wxjpw6),
- .o(Nq4ju6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u678 (
- .a(_al_u675_o),
- .b(Gq4ju6_lutinv),
- .c(Nq4ju6_lutinv),
- .d(vis_r12_o[0]),
- .e(vis_r11_o[0]),
- .o(Es9pw6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*~A)"),
- .INIT(16'h0004))
- _al_u679 (
- .a(Dzvpw6),
- .b(M6kax6),
- .c(Shopw6),
- .d(Wxjpw6),
- .o(Rs4ju6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u68 (
- .a(M6eiu6),
- .b(C1wpw6),
- .o(n1009));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*~A)"),
- .INIT(16'h0040))
- _al_u680 (
- .a(Dzvpw6),
- .b(M6kax6),
- .c(Shopw6),
- .d(Wxjpw6),
- .o(Ds4ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u681 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r8_o[0]),
- .d(vis_r9_o[0]),
- .o(Wu9pw6));
- AL_MAP_LUT5 #(
- .EQN("~(~E*~((D*C*A))*~(B)+~E*(D*C*A)*~(B)+~(~E)*(D*C*A)*B+~E*(D*C*A)*B)"),
- .INIT(32'h7fff4ccc))
- _al_u682 (
- .a(Dc0iu6),
- .b(_al_u672_o),
- .c(Es9pw6),
- .d(Wu9pw6),
- .e(I1lpw6),
- .o(Go0iu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u683 (
- .a(Vo3ju6_lutinv),
- .b(Hirpw6),
- .o(F3aiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u684 (
- .a(F3aiu6),
- .b(Ldoiu6_lutinv),
- .o(_al_u684_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u685 (
- .a(T1vpw6),
- .b(Xxupw6),
- .o(_al_u685_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*~A)"),
- .INIT(16'h0100))
- _al_u686 (
- .a(_al_u684_o),
- .b(Xc2ju6_lutinv),
- .c(_al_u685_o),
- .d(Vgjpw6),
- .o(_al_u686_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~(~A*~(~D*C)))"),
- .INIT(16'h2232))
- _al_u687 (
- .a(_al_u121_o),
- .b(P5vpw6),
- .c(R3vpw6),
- .d(T1vpw6),
- .o(_al_u687_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u688 (
- .a(Aujpw6),
- .b(Xxupw6),
- .o(_al_u688_o));
- AL_MAP_LUT4 #(
- .EQN("(~A*~(D*C*B))"),
- .INIT(16'h1555))
- _al_u689 (
- .a(_al_u687_o),
- .b(_al_u156_o),
- .c(_al_u688_o),
- .d(T1vpw6),
- .o(_al_u689_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*~B*~A)"),
- .INIT(8'h01))
- _al_u69 (
- .a(R9yax6),
- .b(W5ypw6),
- .c(Ztupw6),
- .o(Wjyiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u690 (
- .a(P5vpw6),
- .b(T1vpw6),
- .o(_al_u690_o));
- AL_MAP_LUT4 #(
- .EQN("(~(~C*~B)*~(A)*~(D)+~(~C*~B)*A*~(D)+~(~(~C*~B))*A*D+~(~C*~B)*A*D)"),
- .INIT(16'haafc))
- _al_u691 (
- .a(Pt2ju6),
- .b(Fq8iu6),
- .c(_al_u690_o),
- .d(Aujpw6),
- .o(_al_u691_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*A)"),
- .INIT(16'h0020))
- _al_u692 (
- .a(_al_u686_o),
- .b(_al_u640_o),
- .c(_al_u689_o),
- .d(_al_u691_o),
- .o(_al_u692_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u693 (
- .a(Go0iu6_lutinv),
- .b(_al_u692_o),
- .o(Idfpw6[0]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u694 (
- .a(Ds4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r9_o[1]),
- .d(vis_r10_o[1]),
- .o(_al_u694_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u695 (
- .a(_al_u694_o),
- .b(Jo4ju6_lutinv),
- .c(Rs4ju6_lutinv),
- .d(vis_r14_o[1]),
- .e(vis_r8_o[1]),
- .o(_al_u695_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u696 (
- .a(_al_u695_o),
- .b(Gq4ju6_lutinv),
- .c(Nq4ju6_lutinv),
- .d(vis_r12_o[1]),
- .e(vis_r11_o[1]),
- .o(_al_u696_o));
- AL_MAP_LUT4 #(
- .EQN("~(~D*~((B*A))*~(C)+~D*(B*A)*~(C)+~(~D)*(B*A)*C+~D*(B*A)*C)"),
- .INIT(16'h7f70))
- _al_u697 (
- .a(_al_u696_o),
- .b(E90iu6),
- .c(_al_u672_o),
- .d(Nu5bx6),
- .o(_al_u697_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u698 (
- .a(_al_u697_o),
- .b(_al_u692_o),
- .o(Idfpw6[1]));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*B*A)"),
- .INIT(32'h00000008))
- _al_u699 (
- .a(Llaow6_lutinv),
- .b(Dxvpw6),
- .c(P14qw6),
- .d(Skjax6),
- .e(U9ypw6),
- .o(Srbow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u7 (
- .a(Vzjpw6),
- .b(Wyiax6),
- .o(Vnfpw6[5]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u70 (
- .a(Wjyiu6),
- .b(Vynow6_lutinv),
- .o(Y5eiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u700 (
- .a(Rwjax6),
- .b(Ssjax6),
- .o(Qxoiu6));
- AL_MAP_LUT4 #(
- .EQN("(A*~(~D*~(C*B)))"),
- .INIT(16'haa80))
- _al_u701 (
- .a(Srbow6),
- .b(Qxoiu6),
- .c(P0kax6),
- .d(Sojax6),
- .o(H4iow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u702 (
- .a(Skjax6),
- .b(U9ypw6),
- .o(Aujiu6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u703 (
- .a(Llaow6_lutinv),
- .b(Aujiu6),
- .c(Dxvpw6),
- .o(Hs8ow6));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u704 (
- .a(S7mpw6),
- .b(Wfspw6),
- .c(Wkipw6),
- .o(_al_u704_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u705 (
- .a(P5vpw6),
- .b(Ufopw6),
- .o(_al_u705_o));
- AL_MAP_LUT4 #(
- .EQN("(~B*~A*~(D*C))"),
- .INIT(16'h0111))
- _al_u706 (
- .a(H4iow6),
- .b(Hs8ow6),
- .c(_al_u704_o),
- .d(_al_u705_o),
- .o(Mb1ju6));
- AL_MAP_LUT4 #(
- .EQN("(A*(~(B)*C*~(D)+B*~(C)*D+B*C*D))"),
- .INIT(16'h8820))
- _al_u707 (
- .a(Llaow6_lutinv),
- .b(Dxvpw6),
- .c(P14qw6),
- .d(U9ypw6),
- .o(Fb1ju6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u708 (
- .a(Skjax6),
- .b(U9ypw6),
- .o(Ya1ju6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*B*A)"),
- .INIT(16'h0080))
- _al_u709 (
- .a(Llaow6_lutinv),
- .b(Ya1ju6_lutinv),
- .c(P14qw6),
- .d(Sojax6),
- .o(_al_u709_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u71 (
- .a(Y5eiu6),
- .b(C1wpw6),
- .o(n1008));
- AL_MAP_LUT3 #(
- .EQN("(~B*~(C*A))"),
- .INIT(8'h13))
- _al_u710 (
- .a(Fb1ju6),
- .b(_al_u709_o),
- .c(Rwjax6),
- .o(B91ju6));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(~C*B))"),
- .INIT(8'h51))
- _al_u711 (
- .a(Srbow6),
- .b(_al_u705_o),
- .c(Wkipw6),
- .o(_al_u711_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*~C))"),
- .INIT(16'h8088))
- _al_u712 (
- .a(Mb1ju6),
- .b(B91ju6),
- .c(_al_u711_o),
- .d(S7mpw6),
- .o(_al_u712_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E)"),
- .INIT(32'h37664466))
- _al_u713 (
- .a(Dxvpw6),
- .b(P14qw6),
- .c(Rwjax6),
- .d(Skjax6),
- .e(U9ypw6),
- .o(_al_u713_o));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u714 (
- .a(_al_u713_o),
- .b(Llaow6_lutinv),
- .o(P91ju6));
- AL_MAP_LUT3 #(
- .EQN("~(A*~(C*B))"),
- .INIT(8'hd5))
- _al_u715 (
- .a(_al_u712_o),
- .b(P91ju6),
- .c(Jgxpw6),
- .o(Z71ju6));
- AL_MAP_LUT4 #(
- .EQN("(~C*~A*~(D*~B))"),
- .INIT(16'h0405))
- _al_u716 (
- .a(_al_u395_o),
- .b(_al_u401_o),
- .c(_al_u397_o),
- .d(Jgxpw6),
- .o(_al_u716_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u717 (
- .a(A95iu6_lutinv),
- .b(_al_u156_o),
- .c(Lgkax6),
- .d(S7mpw6),
- .o(_al_u717_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u718 (
- .a(Nbkiu6_lutinv),
- .b(Skjax6),
- .o(_al_u718_o));
- AL_MAP_LUT4 #(
- .EQN("(B*A*~(D*C))"),
- .INIT(16'h0888))
- _al_u719 (
- .a(_al_u716_o),
- .b(_al_u717_o),
- .c(_al_u718_o),
- .d(N4kax6),
- .o(_al_u719_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u72 (
- .a(R9yax6),
- .b(W5ypw6),
- .c(Ztupw6),
- .o(Xznow6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u720 (
- .a(_al_u391_o),
- .b(Ubypw6),
- .o(_al_u720_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u721 (
- .a(_al_u720_o),
- .b(Fkrpw6),
- .o(_al_u721_o));
- AL_MAP_LUT5 #(
- .EQN("~(A*~(C*~(~B*~(E*~D))))"),
- .INIT(32'hd5f5d5d5))
- _al_u722 (
- .a(_al_u719_o),
- .b(_al_u721_o),
- .c(L45iu6_lutinv),
- .d(_al_u391_o),
- .e(_al_u390_o),
- .o(H25iu6));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u723 (
- .a(Amupw6),
- .b(Coupw6),
- .c(F9gbx6),
- .d(Z8jpw6),
- .o(_al_u723_o));
- AL_MAP_LUT5 #(
- .EQN("(~E*~D*~C*~B*A)"),
- .INIT(32'h00000002))
- _al_u724 (
- .a(_al_u723_o),
- .b(Uojbx6),
- .c(Vrtpw6),
- .d(Wlspw6),
- .e(Y7opw6),
- .o(_al_u724_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u725 (
- .a(Rfxax6),
- .b(Tjkpw6),
- .c(Ujxax6),
- .d(V0jpw6),
- .o(_al_u725_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*A)"),
- .INIT(16'h0002))
- _al_u726 (
- .a(_al_u725_o),
- .b(Rv7ax6),
- .c(Ss0qw6),
- .d(T9kpw6),
- .o(_al_u726_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u727 (
- .a(Johbx6),
- .b(Kzabx6),
- .c(Nbxax6),
- .d(Pt7ax6),
- .o(_al_u727_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*~B*~A)"),
- .INIT(16'h0001))
- _al_u728 (
- .a(N0xpw6),
- .b(Nr7ax6),
- .c(Oarpw6),
- .d(P0ibx6),
- .o(_al_u728_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u729 (
- .a(_al_u724_o),
- .b(_al_u726_o),
- .c(_al_u727_o),
- .d(_al_u728_o),
- .o(Azeiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u73 (
- .a(I5xax6),
- .b(Yzspw6),
- .o(Rzciu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(E*D*C*~B*A)"),
- .INIT(32'h20000000))
- _al_u730 (
- .a(Azeiu6),
- .b(Wofiu6_lutinv),
- .c(Lp7ax6),
- .d(N8rpw6),
- .e(Zszax6),
- .o(_al_u730_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u731 (
- .a(Vowiu6),
- .b(Cvciu6),
- .o(Ur4iu6));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u732 (
- .a(Ur4iu6),
- .b(Y5eiu6),
- .c(C1wpw6),
- .o(_al_u732_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u733 (
- .a(Jcpow6),
- .b(Vynow6_lutinv),
- .o(Yvgiu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u734 (
- .a(Yvgiu6),
- .b(C1wpw6),
- .o(_al_u734_o));
- AL_MAP_LUT4 #(
- .EQN("~(~A*~(D*~C*~B))"),
- .INIT(16'habaa))
- _al_u735 (
- .a(_al_u730_o),
- .b(_al_u732_o),
- .c(_al_u734_o),
- .d(T2kbx6),
- .o(Kjthu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u736 (
- .a(HALTED),
- .b(Vuciu6),
- .c(Cvciu6),
- .d(Dg2qw6),
- .o(_al_u736_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B)"),
- .INIT(8'hb8))
- _al_u737 (
- .a(_al_u736_o),
- .b(HREADY),
- .c(M8fax6),
- .o(Czuhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u738 (
- .a(Ds4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r11_o[12]),
- .d(vis_r9_o[12]),
- .o(_al_u738_o));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u739 (
- .a(Fb9pw6_lutinv),
- .b(Jrypw6),
- .c(Shopw6),
- .o(_al_u739_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u74 (
- .a(Xznow6),
- .b(Rzciu6_lutinv),
- .o(Fpgiu6));
- AL_MAP_LUT3 #(
- .EQN("(C*B*A)"),
- .INIT(8'h80))
- _al_u740 (
- .a(Fb9pw6_lutinv),
- .b(Jrypw6),
- .c(Shopw6),
- .o(_al_u740_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u741 (
- .a(_al_u738_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_psp_o[10]),
- .e(vis_msp_o[10]),
- .o(_al_u741_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u742 (
- .a(Gq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r12_o[12]),
- .d(vis_r10_o[12]),
- .o(_al_u742_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u743 (
- .a(Jo4ju6_lutinv),
- .b(Rs4ju6_lutinv),
- .c(vis_r14_o[12]),
- .d(vis_r8_o[12]),
- .o(_al_u743_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u744 (
- .a(Ib0iu6),
- .b(_al_u741_o),
- .c(_al_u742_o),
- .d(_al_u743_o),
- .o(_al_u744_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u745 (
- .a(_al_u744_o),
- .b(_al_u672_o),
- .c(Dm6bx6),
- .o(_al_u745_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u746 (
- .a(_al_u745_o),
- .b(_al_u692_o),
- .o(Idfpw6[12]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u747 (
- .a(_al_u740_o),
- .b(Rs4ju6_lutinv),
- .c(vis_r8_o[13]),
- .d(vis_psp_o[11]),
- .o(_al_u747_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u748 (
- .a(_al_u747_o),
- .b(Gq4ju6_lutinv),
- .c(_al_u739_o),
- .d(vis_r12_o[13]),
- .e(vis_msp_o[11]),
- .o(_al_u748_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u749 (
- .a(Jo4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r14_o[13]),
- .d(vis_r9_o[13]),
- .o(_al_u749_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u75 (
- .a(Fpgiu6),
- .b(C1wpw6),
- .o(n1199));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u750 (
- .a(Nq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r11_o[13]),
- .d(vis_r10_o[13]),
- .o(_al_u750_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u751 (
- .a(Bb0iu6),
- .b(_al_u748_o),
- .c(_al_u749_o),
- .d(_al_u750_o),
- .o(_al_u751_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u752 (
- .a(_al_u751_o),
- .b(_al_u672_o),
- .c(Xpxax6),
- .o(_al_u752_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u753 (
- .a(_al_u752_o),
- .b(_al_u692_o),
- .o(Idfpw6[13]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u754 (
- .a(Jo4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r14_o[14]),
- .d(vis_r11_o[14]),
- .o(_al_u754_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u755 (
- .a(_al_u754_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_msp_o[12]),
- .e(vis_psp_o[12]),
- .o(_al_u755_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u756 (
- .a(Gq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r12_o[14]),
- .d(vis_r10_o[14]),
- .o(_al_u756_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u757 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r8_o[14]),
- .d(vis_r9_o[14]),
- .o(_al_u757_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u758 (
- .a(Ua0iu6),
- .b(_al_u755_o),
- .c(_al_u756_o),
- .d(_al_u757_o),
- .o(_al_u758_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u759 (
- .a(_al_u758_o),
- .b(_al_u672_o),
- .c(Sb8ax6),
- .o(_al_u759_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u76 (
- .a(I5xax6),
- .b(Yzspw6),
- .o(Pjyiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u760 (
- .a(_al_u759_o),
- .b(_al_u692_o),
- .o(Idfpw6[14]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u761 (
- .a(Jo4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r11_o[15]),
- .d(vis_r14_o[15]),
- .o(_al_u761_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u762 (
- .a(_al_u761_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_msp_o[13]),
- .e(vis_psp_o[13]),
- .o(_al_u762_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u763 (
- .a(Gq4ju6_lutinv),
- .b(Rs4ju6_lutinv),
- .c(vis_r12_o[15]),
- .d(vis_r8_o[15]),
- .o(_al_u763_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u764 (
- .a(Ds4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r9_o[15]),
- .d(vis_r10_o[15]),
- .o(Vk8pw6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u765 (
- .a(Na0iu6),
- .b(_al_u762_o),
- .c(_al_u763_o),
- .d(Vk8pw6),
- .o(_al_u765_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u766 (
- .a(_al_u765_o),
- .b(_al_u672_o),
- .c(Z47ax6),
- .o(_al_u766_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u767 (
- .a(_al_u766_o),
- .b(_al_u692_o),
- .o(Idfpw6[15]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u768 (
- .a(Ds4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r9_o[16]),
- .d(vis_r11_o[16]),
- .o(_al_u768_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u769 (
- .a(_al_u768_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_psp_o[14]),
- .e(vis_msp_o[14]),
- .o(_al_u769_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u77 (
- .a(Pjyiu6),
- .b(C1wpw6),
- .o(Kkyiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u770 (
- .a(Gq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r12_o[16]),
- .d(vis_r10_o[16]),
- .o(_al_u770_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u771 (
- .a(Jo4ju6_lutinv),
- .b(Rs4ju6_lutinv),
- .c(vis_r14_o[16]),
- .d(vis_r8_o[16]),
- .o(_al_u771_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u772 (
- .a(Ga0iu6),
- .b(_al_u769_o),
- .c(_al_u770_o),
- .d(_al_u771_o),
- .o(_al_u772_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u773 (
- .a(_al_u772_o),
- .b(_al_u672_o),
- .c(Chwpw6),
- .o(_al_u773_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u774 (
- .a(_al_u773_o),
- .b(_al_u692_o),
- .o(Idfpw6[16]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u775 (
- .a(Jo4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r14_o[17]),
- .d(vis_r11_o[17]),
- .o(_al_u775_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u776 (
- .a(_al_u775_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_msp_o[15]),
- .e(vis_psp_o[15]),
- .o(_al_u776_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u777 (
- .a(Gq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r12_o[17]),
- .d(vis_r10_o[17]),
- .o(_al_u777_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u778 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r8_o[17]),
- .d(vis_r9_o[17]),
- .o(_al_u778_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u779 (
- .a(Z90iu6),
- .b(_al_u776_o),
- .c(_al_u777_o),
- .d(_al_u778_o),
- .o(_al_u779_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u78 (
- .a(Kkyiu6),
- .b(Xznow6),
- .o(n987));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u780 (
- .a(_al_u779_o),
- .b(_al_u672_o),
- .c(Pbbbx6),
- .o(_al_u780_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u781 (
- .a(_al_u780_o),
- .b(_al_u692_o),
- .o(Idfpw6[17]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u782 (
- .a(Jo4ju6_lutinv),
- .b(_al_u740_o),
- .c(vis_r14_o[18]),
- .d(vis_psp_o[16]),
- .o(_al_u782_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u783 (
- .a(_al_u782_o),
- .b(Gq4ju6_lutinv),
- .c(_al_u739_o),
- .d(vis_r12_o[18]),
- .e(vis_msp_o[16]),
- .o(_al_u783_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u784 (
- .a(Nq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r11_o[18]),
- .d(vis_r10_o[18]),
- .o(_al_u784_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u785 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r8_o[18]),
- .d(vis_r9_o[18]),
- .o(_al_u785_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u786 (
- .a(S90iu6),
- .b(_al_u783_o),
- .c(_al_u784_o),
- .d(_al_u785_o),
- .o(_al_u786_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u787 (
- .a(_al_u786_o),
- .b(_al_u672_o),
- .c(Syjbx6),
- .o(_al_u787_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u788 (
- .a(_al_u787_o),
- .b(_al_u692_o),
- .o(Idfpw6[18]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u789 (
- .a(Rs4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r11_o[19]),
- .d(vis_r8_o[19]),
- .o(_al_u789_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u79 (
- .a(Xznow6),
- .b(Vynow6_lutinv),
- .o(S1fiu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u790 (
- .a(_al_u789_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_psp_o[17]),
- .e(vis_msp_o[17]),
- .o(_al_u790_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u791 (
- .a(Gq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r12_o[19]),
- .d(vis_r10_o[19]),
- .o(_al_u791_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u792 (
- .a(Jo4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r9_o[19]),
- .d(vis_r14_o[19]),
- .o(_al_u792_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u793 (
- .a(L90iu6),
- .b(_al_u790_o),
- .c(_al_u791_o),
- .d(_al_u792_o),
- .o(_al_u793_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u794 (
- .a(_al_u793_o),
- .b(_al_u672_o),
- .c(T6kbx6),
- .o(_al_u794_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u795 (
- .a(_al_u794_o),
- .b(_al_u692_o),
- .o(Idfpw6[19]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u796 (
- .a(Jo4ju6_lutinv),
- .b(_al_u740_o),
- .c(vis_r14_o[20]),
- .d(vis_psp_o[18]),
- .o(_al_u796_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u797 (
- .a(_al_u796_o),
- .b(Gq4ju6_lutinv),
- .c(_al_u739_o),
- .d(vis_r12_o[20]),
- .e(vis_msp_o[18]),
- .o(_al_u797_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u798 (
- .a(Nq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r10_o[20]),
- .d(vis_r11_o[20]),
- .o(_al_u798_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u799 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r8_o[20]),
- .d(vis_r9_o[20]),
- .o(_al_u799_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u8 (
- .a(Vzjpw6),
- .b(Xuiax6),
- .o(Vnfpw6[3]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u80 (
- .a(S1fiu6),
- .b(C1wpw6),
- .o(n1113));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u800 (
- .a(X80iu6),
- .b(_al_u797_o),
- .c(_al_u798_o),
- .d(_al_u799_o),
- .o(_al_u800_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u801 (
- .a(_al_u800_o),
- .b(_al_u672_o),
- .c(Fjdbx6),
- .o(_al_u801_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u802 (
- .a(_al_u801_o),
- .b(_al_u692_o),
- .o(Idfpw6[20]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u803 (
- .a(Jo4ju6_lutinv),
- .b(_al_u740_o),
- .c(vis_r14_o[21]),
- .d(vis_psp_o[19]),
- .o(_al_u803_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u804 (
- .a(_al_u803_o),
- .b(Gq4ju6_lutinv),
- .c(_al_u739_o),
- .d(vis_r12_o[21]),
- .e(vis_msp_o[19]),
- .o(_al_u804_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u805 (
- .a(Nq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r11_o[21]),
- .d(vis_r10_o[21]),
- .o(_al_u805_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u806 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r8_o[21]),
- .d(vis_r9_o[21]),
- .o(_al_u806_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u807 (
- .a(Q80iu6),
- .b(_al_u804_o),
- .c(_al_u805_o),
- .d(_al_u806_o),
- .o(_al_u807_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u808 (
- .a(_al_u807_o),
- .b(_al_u672_o),
- .c(M2ebx6),
- .o(_al_u808_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u809 (
- .a(_al_u808_o),
- .b(_al_u692_o),
- .o(Idfpw6[21]));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u81 (
- .a(Pjyiu6),
- .b(R9yax6),
- .c(W5ypw6),
- .d(Ztupw6),
- .o(U2fiu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u810 (
- .a(Jo4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r11_o[22]),
- .d(vis_r14_o[22]),
- .o(_al_u810_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u811 (
- .a(_al_u810_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_msp_o[20]),
- .e(vis_psp_o[20]),
- .o(_al_u811_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u812 (
- .a(Gq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r12_o[22]),
- .d(vis_r10_o[22]),
- .o(_al_u812_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u813 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r8_o[22]),
- .d(vis_r9_o[22]),
- .o(_al_u813_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u814 (
- .a(J80iu6),
- .b(_al_u811_o),
- .c(_al_u812_o),
- .d(_al_u813_o),
- .o(_al_u814_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u815 (
- .a(_al_u814_o),
- .b(_al_u672_o),
- .c(Tlebx6),
- .o(_al_u815_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u816 (
- .a(_al_u815_o),
- .b(_al_u692_o),
- .o(Idfpw6[22]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u817 (
- .a(Jo4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r11_o[23]),
- .d(vis_r14_o[23]),
- .o(_al_u817_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u818 (
- .a(_al_u817_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_msp_o[21]),
- .e(vis_psp_o[21]),
- .o(_al_u818_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u819 (
- .a(Gq4ju6_lutinv),
- .b(Rs4ju6_lutinv),
- .c(vis_r12_o[23]),
- .d(vis_r8_o[23]),
- .o(_al_u819_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u82 (
- .a(U2fiu6),
- .b(C1wpw6),
- .o(n1115));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u820 (
- .a(Ds4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r10_o[23]),
- .d(vis_r9_o[23]),
- .o(C96pw6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u821 (
- .a(C80iu6),
- .b(_al_u818_o),
- .c(_al_u819_o),
- .d(C96pw6),
- .o(_al_u821_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u822 (
- .a(_al_u821_o),
- .b(_al_u672_o),
- .c(Ztgbx6),
- .o(_al_u822_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u823 (
- .a(_al_u822_o),
- .b(_al_u692_o),
- .o(Idfpw6[23]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u824 (
- .a(Jo4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r14_o[24]),
- .d(vis_r11_o[24]),
- .o(_al_u824_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u825 (
- .a(_al_u824_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_msp_o[22]),
- .e(vis_psp_o[22]),
- .o(_al_u825_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u826 (
- .a(Gq4ju6_lutinv),
- .b(Rs4ju6_lutinv),
- .c(vis_r12_o[24]),
- .d(vis_r8_o[24]),
- .o(_al_u826_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u827 (
- .a(Ds4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r10_o[24]),
- .d(vis_r9_o[24]),
- .o(Yz5pw6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u828 (
- .a(V70iu6),
- .b(_al_u825_o),
- .c(_al_u826_o),
- .d(Yz5pw6),
- .o(_al_u828_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u829 (
- .a(_al_u828_o),
- .b(_al_u672_o),
- .c(Tgkbx6),
- .o(_al_u829_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u83 (
- .a(Vynow6_lutinv),
- .b(R9yax6),
- .c(W5ypw6),
- .d(Ztupw6),
- .o(Q0fiu6));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u830 (
- .a(_al_u829_o),
- .b(_al_u692_o),
- .o(Idfpw6[24]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u831 (
- .a(Ds4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r10_o[25]),
- .d(vis_r9_o[25]),
- .o(Uq5pw6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u832 (
- .a(Uq5pw6),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_msp_o[23]),
- .e(vis_psp_o[23]),
- .o(_al_u832_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u833 (
- .a(Jo4ju6_lutinv),
- .b(Rs4ju6_lutinv),
- .c(vis_r14_o[25]),
- .d(vis_r8_o[25]),
- .o(_al_u833_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u834 (
- .a(Gq4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r12_o[25]),
- .d(vis_r11_o[25]),
- .o(Jo5pw6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u835 (
- .a(O70iu6),
- .b(_al_u832_o),
- .c(_al_u833_o),
- .d(Jo5pw6),
- .o(_al_u835_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u836 (
- .a(_al_u835_o),
- .b(_al_u672_o),
- .c(Nwbbx6),
- .o(_al_u836_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u837 (
- .a(_al_u836_o),
- .b(_al_u692_o),
- .o(Idfpw6[25]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u838 (
- .a(Jo4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r14_o[26]),
- .d(vis_r11_o[26]),
- .o(_al_u838_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u839 (
- .a(_al_u838_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_msp_o[24]),
- .e(vis_psp_o[24]),
- .o(_al_u839_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u84 (
- .a(Q0fiu6),
- .b(C1wpw6),
- .o(n1111));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u840 (
- .a(Gq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r12_o[26]),
- .d(vis_r10_o[26]),
- .o(_al_u840_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u841 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r8_o[26]),
- .d(vis_r9_o[26]),
- .o(_al_u841_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u842 (
- .a(H70iu6),
- .b(_al_u839_o),
- .c(_al_u840_o),
- .d(_al_u841_o),
- .o(_al_u842_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u843 (
- .a(_al_u842_o),
- .b(_al_u672_o),
- .c(F8cbx6),
- .o(_al_u843_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u844 (
- .a(_al_u843_o),
- .b(_al_u692_o),
- .o(Idfpw6[26]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u845 (
- .a(Jo4ju6_lutinv),
- .b(_al_u740_o),
- .c(vis_r14_o[27]),
- .d(vis_psp_o[25]),
- .o(_al_u845_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u846 (
- .a(_al_u845_o),
- .b(Gq4ju6_lutinv),
- .c(_al_u739_o),
- .d(vis_r12_o[27]),
- .e(vis_msp_o[25]),
- .o(_al_u846_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u847 (
- .a(Nq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r11_o[27]),
- .d(vis_r10_o[27]),
- .o(_al_u847_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u848 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r8_o[27]),
- .d(vis_r9_o[27]),
- .o(_al_u848_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u849 (
- .a(A70iu6),
- .b(_al_u846_o),
- .c(_al_u847_o),
- .d(_al_u848_o),
- .o(_al_u849_o));
- AL_MAP_LUT4 #(
- .EQN("~(~B*~(D*C*~A))"),
- .INIT(16'hdccc))
- _al_u85 (
- .a(Cjqpw6),
- .b(Pifax6),
- .c(Qwfax6),
- .d(Utqpw6),
- .o(H43iu6));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u850 (
- .a(_al_u849_o),
- .b(_al_u672_o),
- .c(Nybbx6),
- .o(_al_u850_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u851 (
- .a(_al_u850_o),
- .b(_al_u692_o),
- .o(Idfpw6[27]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u852 (
- .a(Jo4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r14_o[28]),
- .d(vis_r11_o[28]),
- .o(_al_u852_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u853 (
- .a(_al_u852_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_msp_o[26]),
- .e(vis_psp_o[26]),
- .o(_al_u853_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u854 (
- .a(Gq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r10_o[28]),
- .d(vis_r12_o[28]),
- .o(_al_u854_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u855 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r8_o[28]),
- .d(vis_r9_o[28]),
- .o(_al_u855_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u856 (
- .a(_al_u303_o),
- .b(_al_u853_o),
- .c(_al_u854_o),
- .d(_al_u855_o),
- .o(_al_u856_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u857 (
- .a(_al_u856_o),
- .b(_al_u672_o),
- .c(Ibqpw6),
- .o(_al_u857_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u858 (
- .a(_al_u857_o),
- .b(_al_u692_o),
- .o(Idfpw6[28]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u859 (
- .a(Jo4ju6_lutinv),
- .b(_al_u740_o),
- .c(vis_r14_o[29]),
- .d(vis_psp_o[27]),
- .o(_al_u859_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*~A)"),
- .INIT(16'h0004))
- _al_u86 (
- .a(D43qw6),
- .b(Di3qw6),
- .c(Le2qw6),
- .d(Pe7ax6),
- .o(Vuciu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u860 (
- .a(_al_u859_o),
- .b(Gq4ju6_lutinv),
- .c(_al_u739_o),
- .d(vis_r12_o[29]),
- .e(vis_msp_o[27]),
- .o(_al_u860_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u861 (
- .a(Nq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r11_o[29]),
- .d(vis_r10_o[29]),
- .o(_al_u861_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u862 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r8_o[29]),
- .d(vis_r9_o[29]),
- .o(_al_u862_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u863 (
- .a(M60iu6),
- .b(_al_u860_o),
- .c(_al_u861_o),
- .d(_al_u862_o),
- .o(_al_u863_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u864 (
- .a(_al_u863_o),
- .b(_al_u672_o),
- .c(Sx3qw6),
- .o(_al_u864_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u865 (
- .a(_al_u864_o),
- .b(_al_u692_o),
- .o(Idfpw6[29]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u866 (
- .a(Ds4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r9_o[30]),
- .d(vis_r11_o[30]),
- .o(_al_u866_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u867 (
- .a(_al_u866_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_psp_o[28]),
- .e(vis_msp_o[28]),
- .o(_al_u867_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u868 (
- .a(Gq4ju6_lutinv),
- .b(Rs4ju6_lutinv),
- .c(vis_r12_o[30]),
- .d(vis_r8_o[30]),
- .o(_al_u868_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u869 (
- .a(Jo4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r10_o[30]),
- .d(vis_r14_o[30]),
- .o(_al_u869_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u87 (
- .a(Vuciu6),
- .b(Cpwiu6),
- .o(Xs1iu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u870 (
- .a(Y50iu6),
- .b(_al_u867_o),
- .c(_al_u868_o),
- .d(_al_u869_o),
- .o(_al_u870_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u871 (
- .a(_al_u870_o),
- .b(_al_u672_o),
- .c(F6dbx6),
- .o(_al_u871_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u872 (
- .a(_al_u871_o),
- .b(_al_u692_o),
- .o(Idfpw6[30]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u873 (
- .a(Jo4ju6_lutinv),
- .b(_al_u740_o),
- .c(vis_psp_o[4]),
- .d(vis_r14_o[6]),
- .o(_al_u873_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u874 (
- .a(_al_u873_o),
- .b(Gq4ju6_lutinv),
- .c(_al_u739_o),
- .d(vis_r12_o[6]),
- .e(vis_msp_o[4]),
- .o(_al_u874_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u875 (
- .a(Nq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r11_o[6]),
- .d(vis_r10_o[6]),
- .o(_al_u875_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u876 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r8_o[6]),
- .d(vis_r9_o[6]),
- .o(_al_u876_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u877 (
- .a(P40iu6),
- .b(_al_u874_o),
- .c(_al_u875_o),
- .d(_al_u876_o),
- .o(_al_u877_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u878 (
- .a(_al_u877_o),
- .b(_al_u672_o),
- .c(Ua9bx6),
- .o(_al_u878_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u879 (
- .a(_al_u878_o),
- .b(_al_u692_o),
- .o(Idfpw6[6]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u88 (
- .a(Xs1iu6),
- .b(Dg2qw6),
- .o(n526));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u880 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r9_o[9]),
- .d(vis_r8_o[9]),
- .o(_al_u880_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u881 (
- .a(_al_u880_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_psp_o[7]),
- .e(vis_msp_o[7]),
- .o(_al_u881_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u882 (
- .a(Gq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r12_o[9]),
- .d(vis_r10_o[9]),
- .o(_al_u882_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u883 (
- .a(Jo4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r11_o[9]),
- .d(vis_r14_o[9]),
- .o(_al_u883_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u884 (
- .a(U30iu6),
- .b(_al_u881_o),
- .c(_al_u882_o),
- .d(_al_u883_o),
- .o(_al_u884_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u885 (
- .a(_al_u884_o),
- .b(_al_u672_o),
- .c(Kn1qw6),
- .o(_al_u885_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u886 (
- .a(_al_u885_o),
- .b(_al_u692_o),
- .o(Idfpw6[9]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u887 (
- .a(_al_u740_o),
- .b(Wr4ju6_lutinv),
- .c(vis_r10_o[31]),
- .d(vis_psp_o[29]),
- .o(_al_u887_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u888 (
- .a(_al_u887_o),
- .b(Gq4ju6_lutinv),
- .c(_al_u739_o),
- .d(vis_r12_o[31]),
- .e(vis_msp_o[29]),
- .o(_al_u888_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u889 (
- .a(Jo4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r9_o[31]),
- .d(vis_r14_o[31]),
- .o(_al_u889_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*A)"),
- .INIT(16'h0020))
- _al_u89 (
- .a(Vuciu6),
- .b(Hw8ax6),
- .c(Sqwpw6),
- .d(Zm8ax6),
- .o(Vr1iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u890 (
- .a(Rs4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r11_o[31]),
- .d(vis_r8_o[31]),
- .o(_al_u890_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u891 (
- .a(R50iu6),
- .b(_al_u888_o),
- .c(_al_u889_o),
- .d(_al_u890_o),
- .o(_al_u891_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u892 (
- .a(_al_u891_o),
- .b(_al_u672_o),
- .c(Usnpw6),
- .o(To2ju6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u893 (
- .a(To2ju6_lutinv),
- .b(_al_u692_o),
- .o(Idfpw6[31]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u894 (
- .a(_al_u740_o),
- .b(Wr4ju6_lutinv),
- .c(vis_r10_o[4]),
- .d(vis_psp_o[2]),
- .o(_al_u894_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u895 (
- .a(_al_u894_o),
- .b(Gq4ju6_lutinv),
- .c(_al_u739_o),
- .d(vis_r12_o[4]),
- .e(vis_msp_o[2]),
- .o(_al_u895_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u896 (
- .a(Jo4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r9_o[4]),
- .d(vis_r14_o[4]),
- .o(_al_u896_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u897 (
- .a(Rs4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r8_o[4]),
- .d(vis_r11_o[4]),
- .o(_al_u897_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u898 (
- .a(D50iu6),
- .b(_al_u895_o),
- .c(_al_u896_o),
- .d(_al_u897_o),
- .o(_al_u898_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u899 (
- .a(_al_u898_o),
- .b(_al_u672_o),
- .c(Wtxax6),
- .o(_al_u899_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u9 (
- .a(Vzjpw6),
- .b(Ysiax6),
- .o(Vnfpw6[2]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u90 (
- .a(Vr1iu6),
- .b(Dg2qw6),
- .o(n525));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u900 (
- .a(_al_u899_o),
- .b(_al_u692_o),
- .o(Idfpw6[4]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u901 (
- .a(Ds4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r11_o[2]),
- .d(vis_r9_o[2]),
- .o(_al_u901_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u902 (
- .a(_al_u901_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_psp_o[0]),
- .e(vis_msp_o[0]),
- .o(_al_u902_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u903 (
- .a(Gq4ju6_lutinv),
- .b(Rs4ju6_lutinv),
- .c(vis_r12_o[2]),
- .d(vis_r8_o[2]),
- .o(_al_u903_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u904 (
- .a(Jo4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r10_o[2]),
- .d(vis_r14_o[2]),
- .o(_al_u904_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u905 (
- .a(F60iu6),
- .b(_al_u902_o),
- .c(_al_u903_o),
- .d(_al_u904_o),
- .o(_al_u905_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u906 (
- .a(_al_u905_o),
- .b(_al_u672_o),
- .c(Xrxax6),
- .o(Gh0iu6_lutinv));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u907 (
- .a(P5vpw6),
- .b(Ufopw6),
- .o(_al_u907_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*A*~(E*D*C))"),
- .INIT(32'h02222222))
- _al_u908 (
- .a(Gh0iu6_lutinv),
- .b(_al_u692_o),
- .c(_al_u148_o),
- .d(_al_u907_o),
- .e(Vgjpw6),
- .o(Idfpw6[2]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u909 (
- .a(Jo4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r11_o[3]),
- .d(vis_r14_o[3]),
- .o(_al_u909_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*~C*B*A)"),
- .INIT(16'h0008))
- _al_u91 (
- .a(D43qw6),
- .b(Di3qw6),
- .c(Le2qw6),
- .d(Pe7ax6),
- .o(Avwiu6));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u910 (
- .a(_al_u909_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_psp_o[1]),
- .e(vis_msp_o[1]),
- .o(_al_u910_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u911 (
- .a(Gq4ju6_lutinv),
- .b(Rs4ju6_lutinv),
- .c(vis_r12_o[3]),
- .d(vis_r8_o[3]),
- .o(_al_u911_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u912 (
- .a(Ds4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r10_o[3]),
- .d(vis_r9_o[3]),
- .o(A06ju6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u913 (
- .a(K50iu6),
- .b(_al_u910_o),
- .c(_al_u911_o),
- .d(A06ju6),
- .o(_al_u913_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u914 (
- .a(_al_u913_o),
- .b(_al_u672_o),
- .c(T5yax6),
- .o(_al_u914_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u915 (
- .a(_al_u914_o),
- .b(_al_u692_o),
- .o(Idfpw6[3]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u916 (
- .a(Jo4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r14_o[5]),
- .d(vis_r11_o[5]),
- .o(_al_u916_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u917 (
- .a(_al_u916_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_psp_o[3]),
- .e(vis_msp_o[3]),
- .o(_al_u917_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u918 (
- .a(Gq4ju6_lutinv),
- .b(Rs4ju6_lutinv),
- .c(vis_r12_o[5]),
- .d(vis_r8_o[5]),
- .o(_al_u918_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u919 (
- .a(Ds4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r10_o[5]),
- .d(vis_r9_o[5]),
- .o(L96ju6));
- AL_MAP_LUT4 #(
- .EQN("(~D*C*~B*A)"),
- .INIT(16'h0020))
- _al_u92 (
- .a(Avwiu6),
- .b(Hw8ax6),
- .c(Sqwpw6),
- .d(Zm8ax6),
- .o(Dw1iu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u920 (
- .a(W40iu6),
- .b(_al_u917_o),
- .c(_al_u918_o),
- .d(L96ju6),
- .o(_al_u920_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u921 (
- .a(_al_u920_o),
- .b(_al_u672_o),
- .c(Qc5bx6),
- .o(_al_u921_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u922 (
- .a(_al_u921_o),
- .b(_al_u692_o),
- .o(Idfpw6[5]));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u923 (
- .a(Jo4ju6_lutinv),
- .b(_al_u740_o),
- .c(vis_r14_o[8]),
- .d(vis_psp_o[6]),
- .o(_al_u923_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u924 (
- .a(_al_u923_o),
- .b(Gq4ju6_lutinv),
- .c(_al_u739_o),
- .d(vis_r12_o[8]),
- .e(vis_msp_o[6]),
- .o(_al_u924_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u925 (
- .a(Nq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r11_o[8]),
- .d(vis_r10_o[8]),
- .o(_al_u925_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u926 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r8_o[8]),
- .d(vis_r9_o[8]),
- .o(_al_u926_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u927 (
- .a(B40iu6),
- .b(_al_u924_o),
- .c(_al_u925_o),
- .d(_al_u926_o),
- .o(_al_u927_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u928 (
- .a(_al_u927_o),
- .b(_al_u672_o),
- .c(N61qw6),
- .o(_al_u928_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u929 (
- .a(_al_u928_o),
- .b(_al_u692_o),
- .o(Idfpw6[8]));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u93 (
- .a(Dw1iu6),
- .b(Dg2qw6),
- .o(n527));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u930 (
- .a(Jo4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r11_o[7]),
- .d(vis_r14_o[7]),
- .o(_al_u930_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u931 (
- .a(_al_u930_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_psp_o[5]),
- .e(vis_msp_o[5]),
- .o(_al_u931_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u932 (
- .a(Gq4ju6_lutinv),
- .b(Rs4ju6_lutinv),
- .c(vis_r12_o[7]),
- .d(vis_r8_o[7]),
- .o(_al_u932_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u933 (
- .a(Ds4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r9_o[7]),
- .d(vis_r10_o[7]),
- .o(Qt6ju6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u934 (
- .a(I40iu6),
- .b(_al_u931_o),
- .c(_al_u932_o),
- .d(Qt6ju6),
- .o(_al_u934_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u935 (
- .a(_al_u934_o),
- .b(_al_u672_o),
- .c(Asupw6),
- .o(_al_u935_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u936 (
- .a(_al_u935_o),
- .b(_al_u692_o),
- .o(Idfpw6[7]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u937 (
- .a(Jo4ju6_lutinv),
- .b(Nq4ju6_lutinv),
- .c(vis_r11_o[10]),
- .d(vis_r14_o[10]),
- .o(_al_u937_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(D*C)*~(E*B))"),
- .INIT(32'h02220aaa))
- _al_u938 (
- .a(_al_u937_o),
- .b(_al_u739_o),
- .c(_al_u740_o),
- .d(vis_psp_o[8]),
- .e(vis_msp_o[8]),
- .o(_al_u938_o));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u939 (
- .a(Gq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r12_o[10]),
- .d(vis_r10_o[10]),
- .o(_al_u939_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u94 (
- .a(Avwiu6),
- .b(Cpwiu6),
- .o(Cs1iu6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u940 (
- .a(Rs4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r9_o[10]),
- .d(vis_r8_o[10]),
- .o(_al_u940_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u941 (
- .a(Wb0iu6),
- .b(_al_u938_o),
- .c(_al_u939_o),
- .d(_al_u940_o),
- .o(_al_u941_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u942 (
- .a(_al_u941_o),
- .b(_al_u672_o),
- .c(Gwxpw6),
- .o(_al_u942_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u943 (
- .a(_al_u942_o),
- .b(_al_u692_o),
- .o(Idfpw6[10]));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u944 (
- .a(_al_u740_o),
- .b(Rs4ju6_lutinv),
- .c(vis_r8_o[11]),
- .d(vis_psp_o[9]),
- .o(_al_u944_o));
- AL_MAP_LUT5 #(
- .EQN("(A*~(E*C)*~(D*B))"),
- .INIT(32'h020a22aa))
- _al_u945 (
- .a(_al_u944_o),
- .b(Gq4ju6_lutinv),
- .c(_al_u739_o),
- .d(vis_r12_o[11]),
- .e(vis_msp_o[9]),
- .o(_al_u945_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u946 (
- .a(Jo4ju6_lutinv),
- .b(Ds4ju6_lutinv),
- .c(vis_r9_o[11]),
- .d(vis_r14_o[11]),
- .o(_al_u946_o));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u947 (
- .a(Nq4ju6_lutinv),
- .b(Wr4ju6_lutinv),
- .c(vis_r10_o[11]),
- .d(vis_r11_o[11]),
- .o(_al_u947_o));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u948 (
- .a(Pb0iu6),
- .b(_al_u945_o),
- .c(_al_u946_o),
- .d(_al_u947_o),
- .o(_al_u948_o));
- AL_MAP_LUT3 #(
- .EQN("~(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B)"),
- .INIT(8'h74))
- _al_u949 (
- .a(_al_u948_o),
- .b(_al_u672_o),
- .c(C07bx6),
- .o(_al_u949_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u95 (
- .a(Cs1iu6),
- .b(Dg2qw6),
- .o(n528));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u950 (
- .a(_al_u949_o),
- .b(_al_u692_o),
- .o(Idfpw6[11]));
- AL_MAP_LUT4 #(
- .EQN("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*~(B)*C+A*~(B)*C+~(A)*B*C))"),
- .INIT(16'h7a00))
- _al_u951 (
- .a(P14qw6),
- .b(Rwjax6),
- .c(Skjax6),
- .d(U9ypw6),
- .o(_al_u951_o));
- AL_MAP_LUT5 #(
- .EQN("(~B*A*~(~C*~(~E*D)))"),
- .INIT(32'h20202220))
- _al_u952 (
- .a(Mb1ju6),
- .b(_al_u951_o),
- .c(Llaow6_lutinv),
- .d(_al_u705_o),
- .e(Wkipw6),
- .o(Ir6ow6));
- AL_MAP_LUT5 #(
- .EQN("~(A*~(D*~(~C*~(E*B))))"),
- .INIT(32'hfd55f555))
- _al_u953 (
- .a(Ir6ow6),
- .b(Srbow6),
- .c(_al_u705_o),
- .d(N4kax6),
- .e(Ssjax6),
- .o(Jrhow6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*B*A)"),
- .INIT(16'h8000))
- _al_u954 (
- .a(vis_pc_o[29]),
- .b(vis_pc_o[30]),
- .c(vis_pc_o[27]),
- .d(Zdiax6),
- .o(_al_u954_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*~A)"),
- .INIT(4'h1))
- _al_u955 (
- .a(vis_ipsr_o[0]),
- .b(vis_ipsr_o[1]),
- .o(Ukbpw6_lutinv));
- AL_MAP_LUT4 #(
- .EQN("(D*B*~(C*A))"),
- .INIT(16'h4c00))
- _al_u956 (
- .a(T8row6),
- .b(_al_u954_o),
- .c(Ukbpw6_lutinv),
- .d(vis_pc_o[28]),
- .o(_al_u956_o));
- AL_MAP_LUT2 #(
- .EQN("(~B*A)"),
- .INIT(4'h2))
- _al_u957 (
- .a(Ae0iu6_lutinv),
- .b(P5vpw6),
- .o(_al_u957_o));
- AL_MAP_LUT3 #(
- .EQN("(A*~(~C*~B))"),
- .INIT(8'ha8))
- _al_u958 (
- .a(P5vpw6),
- .b(S7mpw6),
- .c(Wfspw6),
- .o(_al_u958_o));
- AL_MAP_LUT3 #(
- .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
- .INIT(8'h27))
- _al_u959 (
- .a(Hirpw6),
- .b(R3vpw6),
- .c(Wkipw6),
- .o(_al_u959_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u96 (
- .a(Hw8ax6),
- .b(Sqwpw6),
- .c(Zm8ax6),
- .o(Nkwiu6));
- AL_MAP_LUT5 #(
- .EQN("(~C*~A*(~D*~(B)*~(E)+~D*B*~(E)+~(~D)*B*E+~D*B*E))"),
- .INIT(32'h04040005))
- _al_u960 (
- .a(_al_u957_o),
- .b(_al_u958_o),
- .c(_al_u959_o),
- .d(_al_u394_o),
- .e(Ufopw6),
- .o(_al_u960_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*B*A)"),
- .INIT(16'h0800))
- _al_u961 (
- .a(_al_u394_o),
- .b(S7mpw6),
- .c(Wfspw6),
- .d(Wkipw6),
- .o(_al_u961_o));
- AL_MAP_LUT4 #(
- .EQN("~((D*B)*~(A)*~(C)+(D*B)*A*~(C)+~((D*B))*A*C+(D*B)*A*C)"),
- .INIT(16'h535f))
- _al_u962 (
- .a(_al_u961_o),
- .b(Llaow6_lutinv),
- .c(Ufopw6),
- .d(Wfspw6),
- .o(G7aiu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("~(C*~(~B*~(~D*~(E*A))))"),
- .INIT(32'h3f2f3f0f))
- _al_u963 (
- .a(_al_u956_o),
- .b(_al_u960_o),
- .c(G7aiu6_lutinv),
- .d(vis_control_o),
- .e(vis_pc_o[1]),
- .o(Cy9iu6));
- AL_MAP_LUT2 #(
- .EQN("~(~B*~A)"),
- .INIT(4'he))
- _al_u964 (
- .a(HREADY),
- .b(DBGRESTARTED),
- .o(Vyuhu6));
- AL_MAP_LUT2 #(
- .EQN("~(B@A)"),
- .INIT(4'h9))
- _al_u965 (
- .a(C53iu6),
- .b(Utqpw6),
- .o(Fuxhu6));
- AL_MAP_LUT4 #(
- .EQN("(~(D*B)*~(C*A))"),
- .INIT(16'h135f))
- _al_u966 (
- .a(P91ju6),
- .b(Fb1ju6),
- .c(Jckax6),
- .d(Ssjax6),
- .o(Fobow6));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*~C))"),
- .INIT(16'h7f77))
- _al_u967 (
- .a(Ir6ow6),
- .b(Fobow6),
- .c(_al_u711_o),
- .d(Irmpw6),
- .o(Rnbow6));
- AL_MAP_LUT4 #(
- .EQN("(~(C*B)*~(D*A))"),
- .INIT(16'h153f))
- _al_u968 (
- .a(P91ju6),
- .b(Fb1ju6),
- .c(P0kax6),
- .d(Wkipw6),
- .o(Nq6ow6));
- AL_MAP_LUT4 #(
- .EQN("~(B*A*~(D*~C))"),
- .INIT(16'h7f77))
- _al_u969 (
- .a(Ir6ow6),
- .b(Nq6ow6),
- .c(_al_u711_o),
- .d(Wfspw6),
- .o(Zp6ow6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u97 (
- .a(Avwiu6),
- .b(Nkwiu6),
- .o(Zt1iu6));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u970 (
- .a(S2ziu6_lutinv),
- .b(Hirpw6),
- .c(Vzupw6),
- .o(Vs0iu6));
- AL_MAP_LUT4 #(
- .EQN("~(B*~((~D*A))*~(C)+B*(~D*A)*~(C)+~(B)*(~D*A)*C+B*(~D*A)*C)"),
- .INIT(16'hf353))
- _al_u971 (
- .a(T23ju6_lutinv),
- .b(Hirpw6),
- .c(Ufopw6),
- .d(Yvjpw6),
- .o(_al_u971_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~(~A*~(C*~B)))"),
- .INIT(16'hba00))
- _al_u972 (
- .a(Vs0iu6),
- .b(_al_u971_o),
- .c(vis_apsr_o[1]),
- .d(Ydopw6),
- .o(_al_u972_o));
- AL_MAP_LUT4 #(
- .EQN("(~D*(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B))"),
- .INIT(16'h00b8))
- _al_u973 (
- .a(_al_u194_o),
- .b(Vzupw6),
- .c(Ydopw6),
- .d(Yvjpw6),
- .o(_al_u973_o));
- AL_MAP_LUT3 #(
- .EQN("(~A*~(C*B))"),
- .INIT(8'h15))
- _al_u974 (
- .a(_al_u973_o),
- .b(Mfjiu6),
- .c(Sq3ju6),
- .o(Lu0iu6));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u975 (
- .a(Hirpw6),
- .b(Xxupw6),
- .o(Fr0iu6_lutinv));
- AL_MAP_LUT5 #(
- .EQN("(A*~(~C*~(E*D*B)))"),
- .INIT(32'ha8a0a0a0))
- _al_u976 (
- .a(_al_u148_o),
- .b(Ldoiu6_lutinv),
- .c(_al_u690_o),
- .d(Fr0iu6_lutinv),
- .e(Yvjpw6),
- .o(_al_u976_o));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u977 (
- .a(Cc2ju6_lutinv),
- .b(Fr0iu6_lutinv),
- .c(T1vpw6),
- .o(_al_u977_o));
- AL_MAP_LUT5 #(
- .EQN("(E*~(~D*~C*B*~A))"),
- .INIT(32'hfffb0000))
- _al_u978 (
- .a(_al_u972_o),
- .b(Lu0iu6),
- .c(_al_u976_o),
- .d(_al_u977_o),
- .e(Vgjpw6),
- .o(Dqfhu6));
- AL_MAP_LUT4 #(
- .EQN("(D*C*~B*~A)"),
- .INIT(16'h1000))
- _al_u979 (
- .a(Z63iu6_lutinv),
- .b(B7lpw6),
- .c(Qynpw6),
- .d(Ryfax6),
- .o(_al_u979_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u98 (
- .a(Zt1iu6),
- .b(Dg2qw6),
- .o(n530));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*A)"),
- .INIT(8'h20))
- _al_u980 (
- .a(_al_u632_o),
- .b(_al_u627_o),
- .c(_al_u979_o),
- .o(Vk1iu6));
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u981 (
- .a(Ahlpw6),
- .b(Pmlpw6),
- .o(_al_u981_o));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u982 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Oh8ax6),
- .d(Wq8ax6),
- .o(Vq2iu6));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*A)"),
- .INIT(8'h08))
- _al_u983 (
- .a(_al_u632_o),
- .b(Yn3iu6_lutinv),
- .c(Vplpw6),
- .o(_al_u983_o));
- AL_MAP_LUT4 #(
- .EQN("~(~C*B*~(D*A))"),
- .INIT(16'hfbf3))
- _al_u984 (
- .a(Vk1iu6),
- .b(Vq2iu6),
- .c(_al_u983_o),
- .d(Ro8ax6),
- .o(Xwxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'h23ef))
- _al_u985 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Oh8ax6),
- .d(Xf8ax6),
- .o(_al_u985_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*A))"),
- .INIT(8'hb3))
- _al_u986 (
- .a(Vk1iu6),
- .b(_al_u985_o),
- .c(Ggabx6),
- .o(Exxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u987 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(E97ax6),
- .d(Xf8ax6),
- .o(_al_u987_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*A))"),
- .INIT(8'hb3))
- _al_u988 (
- .a(Vk1iu6),
- .b(_al_u987_o),
- .c(Sd8ax6),
- .o(Lxxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'h23ef))
- _al_u989 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(E97ax6),
- .d(Hlwpw6),
- .o(_al_u989_o));
- AL_MAP_LUT2 #(
- .EQN("(B*A)"),
- .INIT(4'h8))
- _al_u99 (
- .a(Vuciu6),
- .b(Nkwiu6),
- .o(Eg7iu6));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*A))"),
- .INIT(8'hb3))
- _al_u990 (
- .a(Vk1iu6),
- .b(_al_u989_o),
- .c(Z67ax6),
- .o(Sxxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'h23ef))
- _al_u991 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Hlwpw6),
- .d(Ufbbx6),
- .o(Gm2iu6));
- AL_MAP_LUT4 #(
- .EQN("~(C*~B*~(D*A))"),
- .INIT(16'hefcf))
- _al_u992 (
- .a(Vk1iu6),
- .b(_al_u983_o),
- .c(Gm2iu6),
- .d(Cjwpw6),
- .o(Zxxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u993 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Puwpw6),
- .d(Ufbbx6),
- .o(_al_u993_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*A))"),
- .INIT(8'hb3))
- _al_u994 (
- .a(Vk1iu6),
- .b(_al_u993_o),
- .c(Pdbbx6),
- .o(Gyxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u995 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Ldvpw6),
- .d(Puwpw6),
- .o(_al_u995_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*A))"),
- .INIT(8'hb3))
- _al_u996 (
- .a(Vk1iu6),
- .b(_al_u995_o),
- .c(Kswpw6),
- .o(Nyxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
- .INIT(16'h2e3f))
- _al_u997 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Jfdbx6),
- .d(Ldvpw6),
- .o(_al_u997_o));
- AL_MAP_LUT3 #(
- .EQN("~(B*~(C*A))"),
- .INIT(8'hb3))
- _al_u998 (
- .a(Vk1iu6),
- .b(_al_u997_o),
- .c(Gbvpw6),
- .o(Uyxhu6));
- AL_MAP_LUT4 #(
- .EQN("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
- .INIT(16'h23ef))
- _al_u999 (
- .a(_al_u632_o),
- .b(_al_u981_o),
- .c(Jfdbx6),
- .d(Sddbx6),
- .o(Rh2iu6));
- EG_PHY_MSLICE #(
- //.MACRO("add0/u0|add0/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \add0/u0|add0/ucin (
- .a({Qehbx6,1'b0}),
- .b({E4yhu6,open_n1383}),
- .f({Vrkbx6[1],open_n1403}),
- .fco(\add0/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("add0/u0|add0/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \add0/u2|add0/u1 (
- .a({Xn7ax6,Vj3qw6}),
- .b({Dugax6,Ksgax6}),
- .fci(\add0/c1 ),
- .f(Vrkbx6[3:2]),
- .fco(\add0/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("add0/u0|add0/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \add0/u4|add0/u3 (
- .a({Pg3qw6,P23qw6}),
- .b(2'b00),
- .fci(\add0/c3 ),
- .f(Vrkbx6[5:4]),
- .fco(\add0/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("add0/u0|add0/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \add0/u6|add0/u5 (
- .a({Vn9bx6,Bf3qw6}),
- .b(2'b00),
- .fci(\add0/c5 ),
- .f(Vrkbx6[7:6]),
- .fco(\add0/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("add0/u0|add0/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \add0/u8|add0/u7 (
- .a({Ke1qw6,Nd3qw6}),
- .b(2'b00),
- .fci(\add0/c7 ),
- .f(Vrkbx6[9:8]),
- .fco(\add0/c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("add0/u0|add0/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \add0/u9_al_u4817 (
- .a({open_n1496,Yf1qw6}),
- .b({open_n1497,1'b0}),
- .fci(\add0/c9 ),
- .f({open_n1516,Vrkbx6[10]}));
- EG_PHY_LSLICE #(
- //.MACRO("add1/ucin_al_u4801"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add1/u11_al_u4804 (
- .a({vis_pc_o[13],vis_pc_o[11]}),
- .b({vis_pc_o[14],vis_pc_o[12]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add1/c11 ),
- .f({Zsfpw6[13],Zsfpw6[11]}),
- .fco(\add1/c15 ),
- .fx({Zsfpw6[14],Zsfpw6[12]}));
- EG_PHY_LSLICE #(
- //.MACRO("add1/ucin_al_u4801"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add1/u15_al_u4805 (
- .a({vis_pc_o[17],vis_pc_o[15]}),
- .b({vis_pc_o[18],vis_pc_o[16]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add1/c15 ),
- .f({Zsfpw6[17],Zsfpw6[15]}),
- .fco(\add1/c19 ),
- .fx({Zsfpw6[18],Zsfpw6[16]}));
- EG_PHY_LSLICE #(
- //.MACRO("add1/ucin_al_u4801"),
- //.R_POSITION("X0Y2Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add1/u19_al_u4806 (
- .a({vis_pc_o[21],vis_pc_o[19]}),
- .b({vis_pc_o[22],vis_pc_o[20]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add1/c19 ),
- .f({Zsfpw6[21],Zsfpw6[19]}),
- .fco(\add1/c23 ),
- .fx({Zsfpw6[22],Zsfpw6[20]}));
- EG_PHY_LSLICE #(
- //.MACRO("add1/ucin_al_u4801"),
- //.R_POSITION("X0Y3Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add1/u23_al_u4807 (
- .a({vis_pc_o[25],vis_pc_o[23]}),
- .b({vis_pc_o[26],vis_pc_o[24]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add1/c23 ),
- .f({Zsfpw6[25],Zsfpw6[23]}),
- .fco(\add1/c27 ),
- .fx({Zsfpw6[26],Zsfpw6[24]}));
- EG_PHY_LSLICE #(
- //.MACRO("add1/ucin_al_u4801"),
- //.R_POSITION("X0Y3Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add1/u27_al_u4808 (
- .a({vis_pc_o[29],vis_pc_o[27]}),
- .b({vis_pc_o[30],vis_pc_o[28]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add1/c27 ),
- .f({Zsfpw6[29],Zsfpw6[27]}),
- .fx({Zsfpw6[30],Zsfpw6[28]}));
- EG_PHY_LSLICE #(
- //.MACRO("add1/ucin_al_u4801"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add1/u3_al_u4802 (
- .a({vis_pc_o[5],vis_pc_o[3]}),
- .b({vis_pc_o[6],vis_pc_o[4]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add1/c3 ),
- .f({Zsfpw6[5],Zsfpw6[3]}),
- .fco(\add1/c7 ),
- .fx({Zsfpw6[6],Zsfpw6[4]}));
- EG_PHY_LSLICE #(
- //.MACRO("add1/ucin_al_u4801"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add1/u7_al_u4803 (
- .a({vis_pc_o[9],vis_pc_o[7]}),
- .b({vis_pc_o[10],vis_pc_o[8]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add1/c7 ),
- .f({Zsfpw6[9],Zsfpw6[7]}),
- .fco(\add1/c11 ),
- .fx({Zsfpw6[10],Zsfpw6[8]}));
- EG_PHY_LSLICE #(
- //.MACRO("add1/ucin_al_u4801"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add1/ucin_al_u4801 (
- .a({vis_pc_o[1],1'b0}),
- .b({vis_pc_o[2],vis_pc_o[0]}),
- .c(2'b00),
- .d(2'b01),
- .e(2'b01),
- .f({Zsfpw6[1],open_n1666}),
- .fco(\add1/c3 ),
- .fx({Zsfpw6[2],Zsfpw6[0]}));
- EG_PHY_LSLICE #(
- //.MACRO("add2/ucin_al_u4809"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add2/u11_al_u4812 (
- .a({vis_pc_o[14],vis_pc_o[12]}),
- .b({vis_pc_o[15],vis_pc_o[13]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add2/c11 ),
- .f({N5fpw6[14],N5fpw6[12]}),
- .fco(\add2/c15 ),
- .fx({N5fpw6[15],N5fpw6[13]}));
- EG_PHY_LSLICE #(
- //.MACRO("add2/ucin_al_u4809"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add2/u15_al_u4813 (
- .a({vis_pc_o[18],vis_pc_o[16]}),
- .b({vis_pc_o[19],vis_pc_o[17]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add2/c15 ),
- .f({N5fpw6[18],N5fpw6[16]}),
- .fco(\add2/c19 ),
- .fx({N5fpw6[19],N5fpw6[17]}));
- EG_PHY_LSLICE #(
- //.MACRO("add2/ucin_al_u4809"),
- //.R_POSITION("X0Y2Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add2/u19_al_u4814 (
- .a({vis_pc_o[22],vis_pc_o[20]}),
- .b({vis_pc_o[23],vis_pc_o[21]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add2/c19 ),
- .f({N5fpw6[22],N5fpw6[20]}),
- .fco(\add2/c23 ),
- .fx({N5fpw6[23],N5fpw6[21]}));
- EG_PHY_LSLICE #(
- //.MACRO("add2/ucin_al_u4809"),
- //.R_POSITION("X0Y3Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add2/u23_al_u4815 (
- .a({vis_pc_o[26],vis_pc_o[24]}),
- .b({vis_pc_o[27],vis_pc_o[25]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add2/c23 ),
- .f({N5fpw6[26],N5fpw6[24]}),
- .fco(\add2/c27 ),
- .fx({N5fpw6[27],N5fpw6[25]}));
- EG_PHY_LSLICE #(
- //.MACRO("add2/ucin_al_u4809"),
- //.R_POSITION("X0Y3Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add2/u27_al_u4816 (
- .a({vis_pc_o[30],vis_pc_o[28]}),
- .b({open_n1741,vis_pc_o[29]}),
- .c(2'b00),
- .d(2'b00),
- .e({open_n1744,1'b0}),
- .fci(\add2/c27 ),
- .f({N5fpw6[30],N5fpw6[28]}),
- .fx({open_n1760,N5fpw6[29]}));
- EG_PHY_LSLICE #(
- //.MACRO("add2/ucin_al_u4809"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add2/u3_al_u4810 (
- .a({vis_pc_o[6],vis_pc_o[4]}),
- .b({vis_pc_o[7],vis_pc_o[5]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add2/c3 ),
- .f({N5fpw6[6],N5fpw6[4]}),
- .fco(\add2/c7 ),
- .fx({N5fpw6[7],N5fpw6[5]}));
- EG_PHY_LSLICE #(
- //.MACRO("add2/ucin_al_u4809"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add2/u7_al_u4811 (
- .a({vis_pc_o[10],vis_pc_o[8]}),
- .b({vis_pc_o[11],vis_pc_o[9]}),
- .c(2'b00),
- .d(2'b00),
- .e(2'b00),
- .fci(\add2/c7 ),
- .f({N5fpw6[10],N5fpw6[8]}),
- .fco(\add2/c11 ),
- .fx({N5fpw6[11],N5fpw6[9]}));
- EG_PHY_LSLICE #(
- //.MACRO("add2/ucin_al_u4809"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add2/ucin_al_u4809 (
- .a({vis_pc_o[2],1'b0}),
- .b({vis_pc_o[3],R0ghu6}),
- .c(2'b00),
- .d(2'b01),
- .e(2'b01),
- .f({N5fpw6[2],open_n1816}),
- .fco(\add2/c3 ),
- .fx({N5fpw6[3],open_n1817}));
- EG_PHY_LSLICE #(
- //.MACRO("add3_add4/ucin_al_u4792"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add3_add4/u11_al_u4795 (
- .a({Q1epw6,C1epw6}),
- .b({X1epw6,J1epw6}),
- .c(2'b00),
- .d({Idfpw6[13],Idfpw6[11]}),
- .e({Idfpw6[14],Idfpw6[12]}),
- .fci(\add3_add4/c11 ),
- .f({Nxkbx6[14],Nxkbx6[12]}),
- .fco(\add3_add4/c15 ),
- .fx({Nxkbx6[15],Nxkbx6[13]}));
- EG_PHY_LSLICE #(
- //.MACRO("add3_add4/ucin_al_u4792"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add3_add4/u15_al_u4796 (
- .a({G3epw6,L2epw6}),
- .b({N3epw6,Z2epw6}),
- .c(2'b00),
- .d({Idfpw6[17],Idfpw6[15]}),
- .e({Idfpw6[18],Idfpw6[16]}),
- .fci(\add3_add4/c15 ),
- .f({Nxkbx6[18],Nxkbx6[16]}),
- .fco(\add3_add4/c19 ),
- .fx({Nxkbx6[19],Nxkbx6[17]}));
- EG_PHY_LSLICE #(
- //.MACRO("add3_add4/ucin_al_u4792"),
- //.R_POSITION("X0Y2Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add3_add4/u19_al_u4797 (
- .a({I4epw6,U3epw6}),
- .b({P4epw6,B4epw6}),
- .c(2'b00),
- .d({Idfpw6[21],Idfpw6[19]}),
- .e({Idfpw6[22],Idfpw6[20]}),
- .fci(\add3_add4/c19 ),
- .f({Nxkbx6[22],Nxkbx6[20]}),
- .fco(\add3_add4/c23 ),
- .fx({Nxkbx6[23],Nxkbx6[21]}));
- EG_PHY_LSLICE #(
- //.MACRO("add3_add4/ucin_al_u4792"),
- //.R_POSITION("X0Y3Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add3_add4/u23_al_u4798 (
- .a({Qbfpw6[25],Qbfpw6[23]}),
- .b({Qbfpw6[26],Qbfpw6[24]}),
- .c(2'b00),
- .d({Idfpw6[25],Idfpw6[23]}),
- .e({Idfpw6[26],Idfpw6[24]}),
- .fci(\add3_add4/c23 ),
- .f({Nxkbx6[26],Nxkbx6[24]}),
- .fco(\add3_add4/c27 ),
- .fx({Nxkbx6[27],Nxkbx6[25]}));
- EG_PHY_LSLICE #(
- //.MACRO("add3_add4/ucin_al_u4792"),
- //.R_POSITION("X0Y3Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add3_add4/u27_al_u4799 (
- .a({Qbfpw6[29],Qbfpw6[27]}),
- .b({Qbfpw6[30],Qbfpw6[28]}),
- .c(2'b00),
- .d({Idfpw6[29],Idfpw6[27]}),
- .e({Idfpw6[30],Idfpw6[28]}),
- .fci(\add3_add4/c27 ),
- .f({Nxkbx6[30],Nxkbx6[28]}),
- .fco(\add3_add4/c31 ),
- .fx({Nxkbx6[31],Nxkbx6[29]}));
- EG_PHY_LSLICE #(
- //.MACRO("add3_add4/ucin_al_u4792"),
- //.R_POSITION("X0Y4Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add3_add4/u31_al_u4800 (
- .a({open_n1910,D5epw6}),
- .c(2'b00),
- .d({open_n1915,Idfpw6[31]}),
- .fci(\add3_add4/c31 ),
- .f({open_n1932,Nxkbx6[32]}),
- .fx({open_n1934,Nxkbx6[33]}));
- EG_PHY_LSLICE #(
- //.MACRO("add3_add4/ucin_al_u4792"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add3_add4/u3_al_u4793 (
- .a({Qbfpw6[5],Qbfpw6[3]}),
- .b({E2epw6,Qbfpw6[4]}),
- .c(2'b00),
- .d({Idfpw6[5],Idfpw6[3]}),
- .e({Idfpw6[6],Idfpw6[4]}),
- .fci(\add3_add4/c3 ),
- .f({Nxkbx6[6],Nxkbx6[4]}),
- .fco(\add3_add4/c7 ),
- .fx({Nxkbx6[7],Nxkbx6[5]}));
- EG_PHY_LSLICE #(
- //.MACRO("add3_add4/ucin_al_u4792"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add3_add4/u7_al_u4794 (
- .a({Q5phu6,S2epw6}),
- .b({Qbfpw6[10],W4epw6}),
- .c(2'b00),
- .d({Idfpw6[9],Idfpw6[7]}),
- .e({Idfpw6[10],Idfpw6[8]}),
- .fci(\add3_add4/c7 ),
- .f({Nxkbx6[10],Nxkbx6[8]}),
- .fco(\add3_add4/c11 ),
- .fx({Nxkbx6[11],Nxkbx6[9]}));
- EG_PHY_LSLICE #(
- //.MACRO("add3_add4/ucin_al_u4792"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \add3_add4/ucin_al_u4792 (
- .a({Qbfpw6[1],Dqfhu6}),
- .b({Qbfpw6[2],Qbfpw6[0]}),
- .c(2'b00),
- .d({Idfpw6[1],1'b1}),
- .e({Idfpw6[2],Idfpw6[0]}),
- .f({Nxkbx6[2],open_n1990}),
- .fco(\add3_add4/c3 ),
- .fx({Nxkbx6[3],Nxkbx6[1]}));
- EG_PHY_MULT18 #(
- .INPUTREGA("DISABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult0_0_0_ (
- .a(Mifpw6[17:0]),
- .b(Tgfpw6[17:0]),
- .p({open_n2076,open_n2077,open_n2078,open_n2079,mult0_0_0_31,mult0_0_0_30,mult0_0_0_29,mult0_0_0_28,mult0_0_0_27,mult0_0_0_26,mult0_0_0_25,mult0_0_0_24,mult0_0_0_23,mult0_0_0_22,mult0_0_0_21,mult0_0_0_20,mult0_0_0_19,mult0_0_0_18,mult0_0_0_17,mult0_0_0_16,mult0_0_0_15,mult0_0_0_14,mult0_0_0_13,mult0_0_0_12,mult0_0_0_11,mult0_0_0_10,mult0_0_0_9,mult0_0_0_8,mult0_0_0_7,mult0_0_0_6,mult0_0_0_5,mult0_0_0_4,mult0_0_0_3,mult0_0_0_2,mult0_0_0_1,mult0_0_0_0}));
- EG_PHY_MULT18 #(
- .INPUTREGA("DISABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult0_0_1_ (
- .a(Mifpw6[17:0]),
- .b({4'b0000,Tgfpw6[31:18]}),
- .p({open_n2163,open_n2164,open_n2165,open_n2166,open_n2167,open_n2168,open_n2169,open_n2170,open_n2171,open_n2172,open_n2173,open_n2174,open_n2175,open_n2176,open_n2177,open_n2178,open_n2179,open_n2180,open_n2181,open_n2182,open_n2183,open_n2184,mult0_0_1_13,mult0_0_1_12,mult0_0_1_11,mult0_0_1_10,mult0_0_1_9,mult0_0_1_8,mult0_0_1_7,mult0_0_1_6,mult0_0_1_5,mult0_0_1_4,mult0_0_1_3,mult0_0_1_2,mult0_0_1_1,mult0_0_1_0}));
- EG_PHY_MULT18 #(
- .INPUTREGA("DISABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult0_1_0_ (
- .a({4'b0000,Mifpw6[31:18]}),
- .b(Tgfpw6[17:0]),
- .p({open_n2268,open_n2269,open_n2270,open_n2271,open_n2272,open_n2273,open_n2274,open_n2275,open_n2276,open_n2277,open_n2278,open_n2279,open_n2280,open_n2281,open_n2282,open_n2283,open_n2284,open_n2285,open_n2286,open_n2287,open_n2288,open_n2289,mult0_1_0_13,mult0_1_0_12,mult0_1_0_11,mult0_1_0_10,mult0_1_0_9,mult0_1_0_8,mult0_1_0_7,mult0_1_0_6,mult0_1_0_5,mult0_1_0_4,mult0_1_0_3,mult0_1_0_2,mult0_1_0_1,mult0_1_0_0}));
- EG_PHY_MSLICE #(
- //.MACRO("sub0/u0|sub0/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("SUB_CARRY"),
- .INIT_LUT0(16'b0000000000000101),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0/u0|sub0/ucin (
- .a({N8rpw6,1'b0}),
- .b({1'b1,open_n2290}),
- .f({L6gpw6[0],open_n2310}),
- .fco(\sub0/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0/u0|sub0/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0/u10|sub0/u9 (
- .a({Vrtpw6,Uojbx6}),
- .b(2'b00),
- .fci(\sub0/c9 ),
- .f(L6gpw6[10:9]),
- .fco(\sub0/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0/u0|sub0/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0/u12|sub0/u11 (
- .a({V0jpw6,Pt7ax6}),
- .b(2'b00),
- .fci(\sub0/c11 ),
- .f(L6gpw6[12:11]),
- .fco(\sub0/c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0/u0|sub0/ucin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0/u14|sub0/u13 (
- .a({Rfxax6,T9kpw6}),
- .b(2'b00),
- .fci(\sub0/c13 ),
- .f(L6gpw6[14:13]),
- .fco(\sub0/c15 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0/u0|sub0/ucin"),
- //.R_POSITION("X0Y4Z0"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0/u16|sub0/u15 (
- .a({Wlspw6,Nbxax6}),
- .b(2'b00),
- .fci(\sub0/c15 ),
- .f(L6gpw6[16:15]),
- .fco(\sub0/c17 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0/u0|sub0/ucin"),
- //.R_POSITION("X0Y4Z1"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0/u18|sub0/u17 (
- .a({N0xpw6,Amupw6}),
- .b(2'b00),
- .fci(\sub0/c17 ),
- .f(L6gpw6[18:17]),
- .fco(\sub0/c19 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0/u0|sub0/ucin"),
- //.R_POSITION("X0Y5Z0"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0/u20|sub0/u19 (
- .a({Z8jpw6,Nr7ax6}),
- .b(2'b00),
- .fci(\sub0/c19 ),
- .f(L6gpw6[20:19]),
- .fco(\sub0/c21 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0/u0|sub0/ucin"),
- //.R_POSITION("X0Y5Z1"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0/u22|sub0/u21 (
- .a({F9gbx6,Tjkpw6}),
- .b(2'b00),
- .fci(\sub0/c21 ),
- .f(L6gpw6[22:21]),
- .fco(\sub0/c23 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0/u0|sub0/ucin"),
- //.R_POSITION("X0Y6Z0"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0/u23_al_u4818 (
- .a({open_n2469,Coupw6}),
- .b({open_n2470,1'b0}),
- .fci(\sub0/c23 ),
- .f({open_n2489,L6gpw6[23]}));
- EG_PHY_MSLICE #(
- //.MACRO("sub0/u0|sub0/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0/u2|sub0/u1 (
- .a({Kzabx6,Oarpw6}),
- .b(2'b00),
- .fci(\sub0/c1 ),
- .f(L6gpw6[2:1]),
- .fco(\sub0/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0/u0|sub0/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0/u4|sub0/u3 (
- .a({Johbx6,P0ibx6}),
- .b(2'b00),
- .fci(\sub0/c3 ),
- .f(L6gpw6[4:3]),
- .fco(\sub0/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0/u0|sub0/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0/u6|sub0/u5 (
- .a({Rv7ax6,Y7opw6}),
- .b(2'b00),
- .fci(\sub0/c5 ),
- .f(L6gpw6[6:5]),
- .fco(\sub0/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0/u0|sub0/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0/u8|sub0/u7 (
- .a({Ss0qw6,Ujxax6}),
- .b(2'b00),
- .fci(\sub0/c7 ),
- .f(L6gpw6[8:7]),
- .fco(\sub0/c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub1/u0|sub1/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("SUB_CARRY"),
- .INIT_LUT0(16'b0000000000000101),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub1/u0|sub1/ucin (
- .a({X5phu6,1'b0}),
- .b({1'b1,open_n2583}),
- .fco(\sub1/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub1/u0|sub1/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub1/u2|sub1/u1 (
- .a(Vnfpw6[1:0]),
- .b(2'b00),
- .fci(\sub1/c1 ),
- .f(Xlfpw6[2:1]),
- .fco(\sub1/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub1/u0|sub1/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub1/u4|sub1/u3 (
- .a(Vnfpw6[3:2]),
- .b(2'b00),
- .fci(\sub1/c3 ),
- .f(Xlfpw6[4:3]),
- .fco(\sub1/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub1/u0|sub1/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub1/u6|sub1/u5 (
- .a(Vnfpw6[5:4]),
- .b(2'b00),
- .fci(\sub1/c5 ),
- .f(Xlfpw6[6:5]),
- .fco(\sub1/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub1/u0|sub1/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub1/u8|sub1/u7 (
- .a(Vnfpw6[7:6]),
- .b(2'b00),
- .fci(\sub1/c7 ),
- .f(Xlfpw6[8:7]));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/u0|u1/ucin (
- .a({mult0_1_0_0,1'b0}),
- .b({mult0_0_1_0,open_n2698}),
- .f({n135[0],open_n2718}),
- .fco(\u1/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/u10|u1/u9 (
- .a({mult0_1_0_10,mult0_1_0_9}),
- .b({mult0_0_1_10,mult0_0_1_9}),
- .fci(\u1/c9 ),
- .f(n135[10:9]),
- .fco(\u1/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/u12|u1/u11 (
- .a({mult0_1_0_12,mult0_1_0_11}),
- .b({mult0_0_1_12,mult0_0_1_11}),
- .fci(\u1/c11 ),
- .f(n135[12:11]),
- .fco(\u1/c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/u13_al_u4819 (
- .a({open_n2767,mult0_1_0_13}),
- .b({open_n2768,mult0_0_1_13}),
- .fci(\u1/c13 ),
- .f({open_n2787,n135[13]}));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/u2|u1/u1 (
- .a({mult0_1_0_2,mult0_1_0_1}),
- .b({mult0_0_1_2,mult0_0_1_1}),
- .fci(\u1/c1 ),
- .f(n135[2:1]),
- .fco(\u1/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/u4|u1/u3 (
- .a({mult0_1_0_4,mult0_1_0_3}),
- .b({mult0_0_1_4,mult0_0_1_3}),
- .fci(\u1/c3 ),
- .f(n135[4:3]),
- .fco(\u1/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/u6|u1/u5 (
- .a({mult0_1_0_6,mult0_1_0_5}),
- .b({mult0_0_1_6,mult0_0_1_5}),
- .fci(\u1/c5 ),
- .f(n135[6:5]),
- .fco(\u1/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/u8|u1/u7 (
- .a({mult0_1_0_8,mult0_1_0_7}),
- .b({mult0_0_1_8,mult0_0_1_7}),
- .fci(\u1/c7 ),
- .f(n135[8:7]),
- .fco(\u1/c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("u2/u0|u2/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u2/u0|u2/ucin (
- .a({mult0_0_0_18,1'b0}),
- .b({n135[0],open_n2881}),
- .f({n159[0],open_n2901}),
- .fco(\u2/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("u2/u0|u2/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u2/u10|u2/u9 (
- .a({mult0_0_0_28,mult0_0_0_27}),
- .b(n135[10:9]),
- .fci(\u2/c9 ),
- .f(n159[10:9]),
- .fco(\u2/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("u2/u0|u2/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u2/u12|u2/u11 (
- .a({mult0_0_0_30,mult0_0_0_29}),
- .b(n135[12:11]),
- .fci(\u2/c11 ),
- .f(n159[12:11]),
- .fco(\u2/c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("u2/u0|u2/ucin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u2/u13_al_u4820 (
- .a({open_n2950,mult0_0_0_31}),
- .b({open_n2951,n135[13]}),
- .fci(\u2/c13 ),
- .f({open_n2970,n159[13]}));
- EG_PHY_MSLICE #(
- //.MACRO("u2/u0|u2/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u2/u2|u2/u1 (
- .a({mult0_0_0_20,mult0_0_0_19}),
- .b(n135[2:1]),
- .fci(\u2/c1 ),
- .f(n159[2:1]),
- .fco(\u2/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("u2/u0|u2/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u2/u4|u2/u3 (
- .a({mult0_0_0_22,mult0_0_0_21}),
- .b(n135[4:3]),
- .fci(\u2/c3 ),
- .f(n159[4:3]),
- .fco(\u2/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("u2/u0|u2/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u2/u6|u2/u5 (
- .a({mult0_0_0_24,mult0_0_0_23}),
- .b(n135[6:5]),
- .fci(\u2/c5 ),
- .f(n159[6:5]),
- .fco(\u2/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("u2/u0|u2/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u2/u8|u2/u7 (
- .a({mult0_0_0_26,mult0_0_0_25}),
- .b(n135[8:7]),
- .fci(\u2/c7 ),
- .f(n159[8:7]),
- .fco(\u2/c9 ));
-
-endmodule
-
-module FM_Demodulation // ../rtl/demodulation/FM_Demodulation.v(2)
- (
- ADC_Data,
- Channel,
- EOC,
- FM_HW_state,
- RSTn,
- demod_en,
- clk_fm_demo_sampling,
- demodulated_signal_sample
- );
-
- input [11:0] ADC_Data; // ../rtl/demodulation/FM_Demodulation.v(8)
- input [2:0] Channel; // ../rtl/demodulation/FM_Demodulation.v(5)
- input EOC; // ../rtl/demodulation/FM_Demodulation.v(4)
- input [3:0] FM_HW_state; // ../rtl/demodulation/FM_Demodulation.v(6)
- input RSTn; // ../rtl/demodulation/FM_Demodulation.v(7)
- input demod_en; // ../rtl/demodulation/FM_Demodulation.v(9)
- output clk_fm_demo_sampling; // ../rtl/demodulation/FM_Demodulation.v(11)
- output [9:0] demodulated_signal_sample; // ../rtl/demodulation/FM_Demodulation.v(10)
-
- parameter fir_0 = 8'b00010001;
- parameter fir_1 = 8'b00100010;
- parameter fir_10 = 9'b100000011;
- parameter fir_11 = 8'b11111110;
- parameter fir_12 = 8'b11101111;
- parameter fir_13 = 8'b11010111;
- parameter fir_14 = 8'b10111001;
- parameter fir_15 = 8'b10011000;
- parameter fir_16 = 8'b01110110;
- parameter fir_17 = 8'b01010101;
- parameter fir_18 = 8'b00111001;
- parameter fir_19 = 8'b00100010;
- parameter fir_2 = 8'b00111001;
- parameter fir_20 = 8'b00010001;
- parameter fir_3 = 8'b01010101;
- parameter fir_4 = 8'b01110110;
- parameter fir_5 = 8'b10011000;
- parameter fir_6 = 8'b10111001;
- parameter fir_7 = 8'b11010111;
- parameter fir_8 = 8'b11101111;
- parameter fir_9 = 9'b011111110;
- wire [7:0] IdataN; // ../rtl/demodulation/FM_Demodulation.v(38)
- wire [7:0] IdataN_1; // ../rtl/demodulation/FM_Demodulation.v(37)
- wire [7:0] QdataN; // ../rtl/demodulation/FM_Demodulation.v(40)
- wire [7:0] QdataN_1; // ../rtl/demodulation/FM_Demodulation.v(39)
- wire [16:0] demodulated_signal_temp; // ../rtl/demodulation/FM_Demodulation.v(42)
- wire [9:0] \dmd_data_filter[10] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[11] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[12] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[13] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[14] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[15] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[16] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[17] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[18] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[19] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[1] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[20] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[2] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[3] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[4] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[5] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[6] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[7] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[8] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [9:0] \dmd_data_filter[9] ; // ../rtl/demodulation/FM_Demodulation.v(45)
- wire [23:0] dmd_data_filtered; // ../rtl/demodulation/FM_Demodulation.v(46)
- wire [5:0] \fm_sample/cnt ; // ../rtl/demodulation/clk_fm_demodulation.v(11)
- wire [5:0] \fm_sample/n4 ;
- wire [5:0] \fm_sample/n5 ;
- wire [17:0] n10;
- wire [18:0] n12;
- wire [15:0] n13;
- wire [15:0] n14;
- wire [18:0] n16;
- wire [14:0] n17;
- wire [15:0] n18;
- wire [18:0] n19;
- wire [12:0] n2;
- wire [18:0] n20;
- wire [15:0] n21;
- wire [18:0] n22;
- wire [19:0] n23;
- wire [16:0] n24;
- wire [19:0] n25;
- wire [19:0] n26;
- wire [16:0] n27;
- wire [19:0] n28;
- wire [20:0] n29;
- wire [13:0] n3;
- wire [17:0] n30;
- wire [20:0] n31;
- wire [17:0] n33;
- wire [17:0] n36;
- wire [17:0] n39;
- wire [17:0] n42;
- wire [18:0] n44;
- wire [17:0] n46;
- wire [17:0] n48;
- wire [15:0] n5;
- wire [17:0] n50;
- wire [17:0] n52;
- wire [17:0] n54;
- wire [16:0] n56;
- wire [16:0] n58;
- wire [16:0] n6;
- wire [15:0] n60;
- wire [15:0] n62;
- wire [14:0] n64;
- wire [23:0] n65;
- wire [16:0] n7;
- wire [16:0] n8;
- wire [17:0] n9;
- wire EOC_Count_Demodulate; // ../rtl/demodulation/FM_Demodulation.v(41)
- wire \fm_sample/add0/c1 ; // ../rtl/demodulation/clk_fm_demodulation.v(19)
- wire \fm_sample/add0/c3 ; // ../rtl/demodulation/clk_fm_demodulation.v(19)
- wire \fm_sample/add0/c5 ; // ../rtl/demodulation/clk_fm_demodulation.v(19)
- wire \fm_sample/lt0_c1 ;
- wire \fm_sample/lt0_c3 ;
- wire \fm_sample/lt0_c5 ;
- wire \fm_sample/n2 ;
- wire \fm_sample/n7 ;
- wire mux4_b0_sel_is_3_o;
- wire mux6_b0_sel_is_3_o;
- wire n0;
- wire n11;
- wire \sub0_2/c1 ; // ../rtl/demodulation/FM_Demodulation.v(82)
- wire \sub0_2/c11 ; // ../rtl/demodulation/FM_Demodulation.v(82)
- wire \sub0_2/c13 ; // ../rtl/demodulation/FM_Demodulation.v(82)
- wire \sub0_2/c15 ; // ../rtl/demodulation/FM_Demodulation.v(82)
- wire \sub0_2/c3 ; // ../rtl/demodulation/FM_Demodulation.v(82)
- wire \sub0_2/c5 ; // ../rtl/demodulation/FM_Demodulation.v(82)
- wire \sub0_2/c7 ; // ../rtl/demodulation/FM_Demodulation.v(82)
- wire \sub0_2/c9 ; // ../rtl/demodulation/FM_Demodulation.v(82)
- wire sub0_2_co;
- wire sub0_2_co_neg;
- wire \u1/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u1/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u1/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u1/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u1/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u1/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u10/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u10/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u10/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u10/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u11/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u11/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u11/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u11/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u12/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u12/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u12/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u12/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u13/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u13/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u13/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u13/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u13/c17 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u13/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u13/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u13/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u13/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u14/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u14/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u14/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u14/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u14/c17 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u14/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u14/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u14/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u14/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u15/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u15/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u15/c19 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u15/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u15/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u16/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u16/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u16/c19 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u16/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u16/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u17/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u17/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u17/c19 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u17/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u17/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u18/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u18/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u18/c19 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u18/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u18/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u19/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u19/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u19/c19 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u19/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u19/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u20/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u20/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u20/c19 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u20/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u20/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u21/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u21/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u21/c19 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u21/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u21/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u3/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u3/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u3/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u3/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u3/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u3/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u3/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u4/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u4/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u4/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u4/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u4/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u4/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u4/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u4/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u5/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u5/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u5/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u5/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u5/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u5/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u5/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u5/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u6/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u6/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u6/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u6/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u6/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u6/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u6/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u6/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u7/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u7/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u7/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u7/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u7/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u7/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u7/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u7/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u8/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u8/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u8/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u8/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u8/c17 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u8/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u8/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u8/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u8/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u9/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u9/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u9/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u9/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u9/c17 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u9/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u9/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u9/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
- wire \u9/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
-
- assign demodulated_signal_sample[9] = 1'b0;
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- EOC_Count_Demodulate_reg (
- .ce(n0),
- .clk(EOC),
- .d(n11),
- .q(EOC_Count_Demodulate)); // ../rtl/demodulation/FM_Demodulation.v(71)
- AL_MAP_LUT2 #(
- .EQN("(B*~A)"),
- .INIT(4'h4))
- _al_u0 (
- .a(demod_en),
- .b(\fm_sample/n2 ),
- .o(\fm_sample/n7 ));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u1 (
- .a(demod_en),
- .b(\fm_sample/n4 [5]),
- .c(\fm_sample/n2 ),
- .o(\fm_sample/n5 [5]));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u10 (
- .a(EOC_Count_Demodulate),
- .o(n11));
- AL_MAP_LUT1 #(
- .EQN("(~A)"),
- .INIT(2'h1))
- _al_u11 (
- .a(sub0_2_co),
- .o(sub0_2_co_neg));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u2 (
- .a(demod_en),
- .b(\fm_sample/n4 [4]),
- .c(\fm_sample/n2 ),
- .o(\fm_sample/n5 [4]));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u3 (
- .a(demod_en),
- .b(\fm_sample/n4 [3]),
- .c(\fm_sample/n2 ),
- .o(\fm_sample/n5 [3]));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u4 (
- .a(demod_en),
- .b(\fm_sample/n4 [2]),
- .c(\fm_sample/n2 ),
- .o(\fm_sample/n5 [2]));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u5 (
- .a(demod_en),
- .b(\fm_sample/n4 [1]),
- .c(\fm_sample/n2 ),
- .o(\fm_sample/n5 [1]));
- AL_MAP_LUT3 #(
- .EQN("(~C*B*~A)"),
- .INIT(8'h04))
- _al_u6 (
- .a(demod_en),
- .b(\fm_sample/n4 [0]),
- .c(\fm_sample/n2 ),
- .o(\fm_sample/n5 [0]));
- AL_MAP_LUT3 #(
- .EQN("(C*~B*~A)"),
- .INIT(8'h10))
- _al_u7 (
- .a(FM_HW_state[3]),
- .b(FM_HW_state[2]),
- .c(FM_HW_state[1]),
- .o(n0));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*~A)"),
- .INIT(16'h0100))
- _al_u8 (
- .a(Channel[1]),
- .b(FM_HW_state[3]),
- .c(FM_HW_state[2]),
- .d(FM_HW_state[1]),
- .o(mux6_b0_sel_is_3_o));
- AL_MAP_LUT4 #(
- .EQN("(D*~C*~B*A)"),
- .INIT(16'h0200))
- _al_u9 (
- .a(Channel[1]),
- .b(FM_HW_state[3]),
- .c(FM_HW_state[2]),
- .d(FM_HW_state[1]),
- .o(mux4_b0_sel_is_3_o));
- EG_PHY_MSLICE #(
- //.MACRO("fm_sample/add0/u0|fm_sample/add0/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \fm_sample/add0/u0|fm_sample/add0/ucin (
- .a({\fm_sample/cnt [0],1'b0}),
- .b({1'b1,open_n1}),
- .f({\fm_sample/n4 [0],open_n21}),
- .fco(\fm_sample/add0/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("fm_sample/add0/u0|fm_sample/add0/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \fm_sample/add0/u2|fm_sample/add0/u1 (
- .a(\fm_sample/cnt [2:1]),
- .b(2'b00),
- .fci(\fm_sample/add0/c1 ),
- .f(\fm_sample/n4 [2:1]),
- .fco(\fm_sample/add0/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("fm_sample/add0/u0|fm_sample/add0/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \fm_sample/add0/u4|fm_sample/add0/u3 (
- .a(\fm_sample/cnt [4:3]),
- .b(2'b00),
- .fci(\fm_sample/add0/c3 ),
- .f(\fm_sample/n4 [4:3]),
- .fco(\fm_sample/add0/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("fm_sample/add0/u0|fm_sample/add0/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \fm_sample/add0/u5_al_u69 (
- .a({open_n70,\fm_sample/cnt [5]}),
- .b({open_n71,1'b0}),
- .fci(\fm_sample/add0/c5 ),
- .f({open_n90,\fm_sample/n4 [5]}));
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- \fm_sample/clk_fm_demo_sampling_reg (
- .ce(RSTn),
- .clk(EOC),
- .d(\fm_sample/n7 ),
- .q(clk_fm_demo_sampling)); // ../rtl/demodulation/clk_fm_demodulation.v(13)
- EG_PHY_MSLICE #(
- //.MACRO("fm_sample/lt0_0|fm_sample/lt0_cin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("A_LE_B_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \fm_sample/lt0_0|fm_sample/lt0_cin (
- .a(2'b11),
- .b({\fm_sample/cnt [0],open_n97}),
- .fco(\fm_sample/lt0_c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("fm_sample/lt0_0|fm_sample/lt0_cin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \fm_sample/lt0_2|fm_sample/lt0_1 (
- .a(2'b00),
- .b(\fm_sample/cnt [2:1]),
- .fci(\fm_sample/lt0_c1 ),
- .fco(\fm_sample/lt0_c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("fm_sample/lt0_0|fm_sample/lt0_cin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \fm_sample/lt0_4|fm_sample/lt0_3 (
- .a(2'b01),
- .b(\fm_sample/cnt [4:3]),
- .fci(\fm_sample/lt0_c3 ),
- .fco(\fm_sample/lt0_c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("fm_sample/lt0_0|fm_sample/lt0_cin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("A_LE_B"),
- .INIT_LUT0(16'b1001100110011100),
- .INIT_LUT1(16'b1001100110011100),
- .MODE("RIPPLE"))
- \fm_sample/lt0_cout|fm_sample/lt0_5 (
- .a(2'b00),
- .b({1'b1,\fm_sample/cnt [5]}),
- .fci(\fm_sample/lt0_c5 ),
- .f({\fm_sample/n2 ,open_n189}));
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \fm_sample/reg0_b0 (
- .ce(demod_en),
- .clk(EOC),
- .d(\fm_sample/n5 [0]),
- .sr(RSTn),
- .q(\fm_sample/cnt [0])); // ../rtl/demodulation/clk_fm_demodulation.v(13)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \fm_sample/reg0_b1 (
- .ce(demod_en),
- .clk(EOC),
- .d(\fm_sample/n5 [1]),
- .sr(RSTn),
- .q(\fm_sample/cnt [1])); // ../rtl/demodulation/clk_fm_demodulation.v(13)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \fm_sample/reg0_b2 (
- .ce(demod_en),
- .clk(EOC),
- .d(\fm_sample/n5 [2]),
- .sr(RSTn),
- .q(\fm_sample/cnt [2])); // ../rtl/demodulation/clk_fm_demodulation.v(13)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \fm_sample/reg0_b3 (
- .ce(demod_en),
- .clk(EOC),
- .d(\fm_sample/n5 [3]),
- .sr(RSTn),
- .q(\fm_sample/cnt [3])); // ../rtl/demodulation/clk_fm_demodulation.v(13)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \fm_sample/reg0_b4 (
- .ce(demod_en),
- .clk(EOC),
- .d(\fm_sample/n5 [4]),
- .sr(RSTn),
- .q(\fm_sample/cnt [4])); // ../rtl/demodulation/clk_fm_demodulation.v(13)
- AL_MAP_SEQ #(
- .CEMUX("INV"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \fm_sample/reg0_b5 (
- .ce(demod_en),
- .clk(EOC),
- .d(\fm_sample/n5 [5]),
- .sr(RSTn),
- .q(\fm_sample/cnt [5])); // ../rtl/demodulation/clk_fm_demodulation.v(13)
- EG_PHY_MULT18 #(
- .INPUTREGA("DISABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT9X9C"),
- .OUTPUTREG("DISABLE"),
- .SIGNEDAMUX("1"),
- .SIGNEDBMUX("1"))
- mult0_mult1_ (
- .a({1'b0,QdataN,1'b0,IdataN}),
- .b({1'b0,IdataN_1,1'b0,QdataN_1}),
- .p({open_n278,open_n279,n14,open_n280,open_n281,n13}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult10_ (
- .a({8'b00000000,\dmd_data_filter[13] }),
- .b(18'b000000000011101111),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n363,open_n364,open_n365,open_n366,open_n367,open_n368,open_n369,open_n370,open_n371,open_n372,open_n373,open_n374,open_n375,open_n376,open_n377,open_n378,open_n379,open_n380,n39}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult11_ (
- .a({8'b00000000,\dmd_data_filter[12] }),
- .b(18'b000000000001111111),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n462,open_n463,open_n464,open_n465,open_n466,open_n467,open_n468,open_n469,open_n470,open_n471,open_n472,open_n473,open_n474,open_n475,open_n476,open_n477,open_n478,open_n479,open_n480,n42[17:1]}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult12_ (
- .a({8'b00000000,\dmd_data_filter[11] }),
- .b(18'b000000000100000011),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n562,open_n563,open_n564,open_n565,open_n566,open_n567,open_n568,open_n569,open_n570,open_n571,open_n572,open_n573,open_n574,open_n575,open_n576,open_n577,open_n578,n44}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult13_ (
- .a({8'b00000000,\dmd_data_filter[10] }),
- .b(18'b000000000001111111),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n660,open_n661,open_n662,open_n663,open_n664,open_n665,open_n666,open_n667,open_n668,open_n669,open_n670,open_n671,open_n672,open_n673,open_n674,open_n675,open_n676,open_n677,open_n678,n46[17:1]}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult14_ (
- .a({8'b00000000,\dmd_data_filter[9] }),
- .b(18'b000000000011101111),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n760,open_n761,open_n762,open_n763,open_n764,open_n765,open_n766,open_n767,open_n768,open_n769,open_n770,open_n771,open_n772,open_n773,open_n774,open_n775,open_n776,open_n777,n48}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult15_ (
- .a({8'b00000000,\dmd_data_filter[8] }),
- .b(18'b000000000011010111),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n859,open_n860,open_n861,open_n862,open_n863,open_n864,open_n865,open_n866,open_n867,open_n868,open_n869,open_n870,open_n871,open_n872,open_n873,open_n874,open_n875,open_n876,n50}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult16_ (
- .a({8'b00000000,\dmd_data_filter[7] }),
- .b(18'b000000000010111001),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n958,open_n959,open_n960,open_n961,open_n962,open_n963,open_n964,open_n965,open_n966,open_n967,open_n968,open_n969,open_n970,open_n971,open_n972,open_n973,open_n974,open_n975,n52}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult17_ (
- .a({8'b00000000,\dmd_data_filter[6] }),
- .b(18'b000000000000010011),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n1057,open_n1058,open_n1059,open_n1060,open_n1061,open_n1062,open_n1063,open_n1064,open_n1065,open_n1066,open_n1067,open_n1068,open_n1069,open_n1070,open_n1071,open_n1072,open_n1073,open_n1074,open_n1075,open_n1076,open_n1077,n54[17:3]}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult18_ (
- .a({8'b00000000,\dmd_data_filter[5] }),
- .b(18'b000000000000111011),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n1159,open_n1160,open_n1161,open_n1162,open_n1163,open_n1164,open_n1165,open_n1166,open_n1167,open_n1168,open_n1169,open_n1170,open_n1171,open_n1172,open_n1173,open_n1174,open_n1175,open_n1176,open_n1177,open_n1178,n56[16:1]}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult19_ (
- .a({8'b00000000,\dmd_data_filter[4] }),
- .b(18'b000000000001010101),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n1260,open_n1261,open_n1262,open_n1263,open_n1264,open_n1265,open_n1266,open_n1267,open_n1268,open_n1269,open_n1270,open_n1271,open_n1272,open_n1273,open_n1274,open_n1275,open_n1276,open_n1277,open_n1278,n58}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult20_ (
- .a({8'b00000000,\dmd_data_filter[3] }),
- .b(18'b000000000000111001),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n1360,open_n1361,open_n1362,open_n1363,open_n1364,open_n1365,open_n1366,open_n1367,open_n1368,open_n1369,open_n1370,open_n1371,open_n1372,open_n1373,open_n1374,open_n1375,open_n1376,open_n1377,open_n1378,open_n1379,n60}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult21_ (
- .a({8'b00000000,\dmd_data_filter[2] }),
- .b(18'b000000000000010001),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n1461,open_n1462,open_n1463,open_n1464,open_n1465,open_n1466,open_n1467,open_n1468,open_n1469,open_n1470,open_n1471,open_n1472,open_n1473,open_n1474,open_n1475,open_n1476,open_n1477,open_n1478,open_n1479,open_n1480,open_n1481,n62[15:1]}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult22_ (
- .a({8'b00000000,\dmd_data_filter[1] }),
- .b(18'b000000000000010001),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n1563,open_n1564,open_n1565,open_n1566,open_n1567,open_n1568,open_n1569,open_n1570,open_n1571,open_n1572,open_n1573,open_n1574,open_n1575,open_n1576,open_n1577,open_n1578,open_n1579,open_n1580,open_n1581,open_n1582,open_n1583,n64}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult2_ (
- .a({8'b00000000,demodulated_signal_temp[16:7]}),
- .b(18'b000000000000010001),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n1665,open_n1666,open_n1667,open_n1668,open_n1669,open_n1670,open_n1671,open_n1672,open_n1673,open_n1674,open_n1675,open_n1676,open_n1677,open_n1678,open_n1679,open_n1680,open_n1681,open_n1682,open_n1683,open_n1684,open_n1685,n17}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult3_ (
- .a({8'b00000000,\dmd_data_filter[20] }),
- .b(18'b000000000000010001),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n1767,open_n1768,open_n1769,open_n1770,open_n1771,open_n1772,open_n1773,open_n1774,open_n1775,open_n1776,open_n1777,open_n1778,open_n1779,open_n1780,open_n1781,open_n1782,open_n1783,open_n1784,open_n1785,open_n1786,open_n1787,n18[15:1]}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult4_ (
- .a({8'b00000000,\dmd_data_filter[19] }),
- .b(18'b000000000000111001),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n1869,open_n1870,open_n1871,open_n1872,open_n1873,open_n1874,open_n1875,open_n1876,open_n1877,open_n1878,open_n1879,open_n1880,open_n1881,open_n1882,open_n1883,open_n1884,open_n1885,open_n1886,open_n1887,open_n1888,n21}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult5_ (
- .a({8'b00000000,\dmd_data_filter[18] }),
- .b(18'b000000000001010101),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n1970,open_n1971,open_n1972,open_n1973,open_n1974,open_n1975,open_n1976,open_n1977,open_n1978,open_n1979,open_n1980,open_n1981,open_n1982,open_n1983,open_n1984,open_n1985,open_n1986,open_n1987,open_n1988,n24}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult6_ (
- .a({8'b00000000,\dmd_data_filter[17] }),
- .b(18'b000000000000111011),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n2070,open_n2071,open_n2072,open_n2073,open_n2074,open_n2075,open_n2076,open_n2077,open_n2078,open_n2079,open_n2080,open_n2081,open_n2082,open_n2083,open_n2084,open_n2085,open_n2086,open_n2087,open_n2088,open_n2089,n27[16:1]}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult7_ (
- .a({8'b00000000,\dmd_data_filter[16] }),
- .b(18'b000000000000010011),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n2171,open_n2172,open_n2173,open_n2174,open_n2175,open_n2176,open_n2177,open_n2178,open_n2179,open_n2180,open_n2181,open_n2182,open_n2183,open_n2184,open_n2185,open_n2186,open_n2187,open_n2188,open_n2189,open_n2190,open_n2191,n30[17:3]}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult8_ (
- .a({8'b00000000,\dmd_data_filter[15] }),
- .b(18'b000000000010111001),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n2273,open_n2274,open_n2275,open_n2276,open_n2277,open_n2278,open_n2279,open_n2280,open_n2281,open_n2282,open_n2283,open_n2284,open_n2285,open_n2286,open_n2287,open_n2288,open_n2289,open_n2290,n33}));
- EG_PHY_MULT18 #(
- .CEAMUX("SIG"),
- .CLKMUX("SIG"),
- .INPUTREGA("ENABLE"),
- .INPUTREGB("DISABLE"),
- .MODE("MULT18X18C"),
- .OUTPUTREG("DISABLE"),
- .RSTANMUX("1"),
- .SIGNEDAMUX("0"),
- .SIGNEDBMUX("0"))
- mult9_ (
- .a({8'b00000000,\dmd_data_filter[14] }),
- .b(18'b000000000011010111),
- .cea(RSTn),
- .clk(EOC_Count_Demodulate),
- .p({open_n2372,open_n2373,open_n2374,open_n2375,open_n2376,open_n2377,open_n2378,open_n2379,open_n2380,open_n2381,open_n2382,open_n2383,open_n2384,open_n2385,open_n2386,open_n2387,open_n2388,open_n2389,n36}));
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg0_b0 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[4]),
- .q(IdataN_1[0])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg0_b1 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[5]),
- .q(IdataN_1[1])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg0_b2 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[6]),
- .q(IdataN_1[2])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg0_b3 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[7]),
- .q(IdataN_1[3])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg0_b4 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[8]),
- .q(IdataN_1[4])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg0_b5 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[9]),
- .q(IdataN_1[5])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg0_b6 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[10]),
- .q(IdataN_1[6])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg0_b7 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[11]),
- .q(IdataN_1[7])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg1_b0 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(QdataN_1[0]),
- .q(QdataN[0])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg1_b1 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(QdataN_1[1]),
- .q(QdataN[1])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg1_b2 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(QdataN_1[2]),
- .q(QdataN[2])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg1_b3 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(QdataN_1[3]),
- .q(QdataN[3])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg1_b4 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(QdataN_1[4]),
- .q(QdataN[4])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg1_b5 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(QdataN_1[5]),
- .q(QdataN[5])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg1_b6 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(QdataN_1[6]),
- .q(QdataN[6])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg1_b7 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(QdataN_1[7]),
- .q(QdataN[7])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg2_b0 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[4]),
- .q(QdataN_1[0])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg2_b1 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[5]),
- .q(QdataN_1[1])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg2_b2 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[6]),
- .q(QdataN_1[2])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg2_b3 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[7]),
- .q(QdataN_1[3])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg2_b4 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[8]),
- .q(QdataN_1[4])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg2_b5 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[9]),
- .q(QdataN_1[5])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg2_b6 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[10]),
- .q(QdataN_1[6])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg2_b7 (
- .ce(mux6_b0_sel_is_3_o),
- .clk(EOC),
- .d(ADC_Data[11]),
- .q(QdataN_1[7])); // ../rtl/demodulation/FM_Demodulation.v(56)
- EG_PHY_MSLICE #(
- //.MACRO("sub0_2/u0|sub0_2/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("SUB"),
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"),
- .REG0_REGSET("RESET"),
- .REG0_SD("F"),
- .REG1_REGSET("RESET"),
- .REG1_SD("F"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \reg3_b10|reg3_b9 (
- .a(n13[10:9]),
- .b(n14[10:9]),
- .clk(EOC_Count_Demodulate),
- .fci(\sub0_2/c9 ),
- .sr(RSTn),
- .fco(\sub0_2/c11 ),
- .q(demodulated_signal_temp[10:9]));
- EG_PHY_MSLICE #(
- //.MACRO("sub0_2/u0|sub0_2/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("SUB"),
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"),
- .REG0_REGSET("RESET"),
- .REG0_SD("F"),
- .REG1_REGSET("RESET"),
- .REG1_SD("F"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \reg3_b12|reg3_b11 (
- .a(n13[12:11]),
- .b(n14[12:11]),
- .clk(EOC_Count_Demodulate),
- .fci(\sub0_2/c11 ),
- .sr(RSTn),
- .fco(\sub0_2/c13 ),
- .q(demodulated_signal_temp[12:11]));
- EG_PHY_MSLICE #(
- //.MACRO("sub0_2/u0|sub0_2/ucin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("SUB"),
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"),
- .REG0_REGSET("RESET"),
- .REG0_SD("F"),
- .REG1_REGSET("RESET"),
- .REG1_SD("F"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \reg3_b14|reg3_b13 (
- .a(n13[14:13]),
- .b(n14[14:13]),
- .clk(EOC_Count_Demodulate),
- .fci(\sub0_2/c13 ),
- .sr(RSTn),
- .fco(\sub0_2/c15 ),
- .q(demodulated_signal_temp[14:13]));
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg3_b16 (
- .clk(EOC_Count_Demodulate),
- .d(sub0_2_co_neg),
- .sr(RSTn),
- .q(demodulated_signal_temp[16])); // ../rtl/demodulation/FM_Demodulation.v(80)
- EG_PHY_MSLICE #(
- //.MACRO("sub0_2/u0|sub0_2/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("SUB"),
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"),
- .REG0_REGSET("RESET"),
- .REG0_SD("F"),
- .REG1_REGSET("RESET"),
- .REG1_SD("F"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \reg3_b8|reg3_b7 (
- .a(n13[8:7]),
- .b(n14[8:7]),
- .clk(EOC_Count_Demodulate),
- .fci(\sub0_2/c7 ),
- .sr(RSTn),
- .fco(\sub0_2/c9 ),
- .q(demodulated_signal_temp[8:7]));
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b13 (
- .clk(EOC_Count_Demodulate),
- .d(n65[13]),
- .sr(RSTn),
- .q(dmd_data_filtered[13])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b14 (
- .clk(EOC_Count_Demodulate),
- .d(n65[14]),
- .sr(RSTn),
- .q(dmd_data_filtered[14])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b15 (
- .clk(EOC_Count_Demodulate),
- .d(n65[15]),
- .sr(RSTn),
- .q(dmd_data_filtered[15])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b16 (
- .clk(EOC_Count_Demodulate),
- .d(n65[16]),
- .sr(RSTn),
- .q(dmd_data_filtered[16])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b17 (
- .clk(EOC_Count_Demodulate),
- .d(n65[17]),
- .sr(RSTn),
- .q(dmd_data_filtered[17])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b18 (
- .clk(EOC_Count_Demodulate),
- .d(n65[18]),
- .sr(RSTn),
- .q(dmd_data_filtered[18])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b19 (
- .clk(EOC_Count_Demodulate),
- .d(n65[19]),
- .sr(RSTn),
- .q(dmd_data_filtered[19])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b20 (
- .clk(EOC_Count_Demodulate),
- .d(n65[20]),
- .sr(RSTn),
- .q(dmd_data_filtered[20])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg4_b21 (
- .clk(EOC_Count_Demodulate),
- .d(n65[21]),
- .sr(RSTn),
- .q(dmd_data_filtered[21])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b10 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[2] [0]),
- .q(\dmd_data_filter[1] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b100 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[11] [0]),
- .q(\dmd_data_filter[10] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b101 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[11] [1]),
- .q(\dmd_data_filter[10] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b102 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[11] [2]),
- .q(\dmd_data_filter[10] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b103 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[11] [3]),
- .q(\dmd_data_filter[10] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b104 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[11] [4]),
- .q(\dmd_data_filter[10] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b105 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[11] [5]),
- .q(\dmd_data_filter[10] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b106 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[11] [6]),
- .q(\dmd_data_filter[10] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b107 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[11] [7]),
- .q(\dmd_data_filter[10] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b108 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[11] [8]),
- .q(\dmd_data_filter[10] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b109 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[11] [9]),
- .q(\dmd_data_filter[10] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b11 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[2] [1]),
- .q(\dmd_data_filter[1] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b110 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[12] [0]),
- .q(\dmd_data_filter[11] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b111 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[12] [1]),
- .q(\dmd_data_filter[11] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b112 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[12] [2]),
- .q(\dmd_data_filter[11] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b113 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[12] [3]),
- .q(\dmd_data_filter[11] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b114 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[12] [4]),
- .q(\dmd_data_filter[11] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b115 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[12] [5]),
- .q(\dmd_data_filter[11] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b116 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[12] [6]),
- .q(\dmd_data_filter[11] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b117 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[12] [7]),
- .q(\dmd_data_filter[11] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b118 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[12] [8]),
- .q(\dmd_data_filter[11] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b119 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[12] [9]),
- .q(\dmd_data_filter[11] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b12 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[2] [2]),
- .q(\dmd_data_filter[1] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b120 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[13] [0]),
- .q(\dmd_data_filter[12] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b121 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[13] [1]),
- .q(\dmd_data_filter[12] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b122 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[13] [2]),
- .q(\dmd_data_filter[12] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b123 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[13] [3]),
- .q(\dmd_data_filter[12] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b124 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[13] [4]),
- .q(\dmd_data_filter[12] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b125 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[13] [5]),
- .q(\dmd_data_filter[12] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b126 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[13] [6]),
- .q(\dmd_data_filter[12] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b127 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[13] [7]),
- .q(\dmd_data_filter[12] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b128 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[13] [8]),
- .q(\dmd_data_filter[12] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b129 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[13] [9]),
- .q(\dmd_data_filter[12] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b13 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[2] [3]),
- .q(\dmd_data_filter[1] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b130 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[14] [0]),
- .q(\dmd_data_filter[13] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b131 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[14] [1]),
- .q(\dmd_data_filter[13] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b132 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[14] [2]),
- .q(\dmd_data_filter[13] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b133 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[14] [3]),
- .q(\dmd_data_filter[13] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b134 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[14] [4]),
- .q(\dmd_data_filter[13] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b135 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[14] [5]),
- .q(\dmd_data_filter[13] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b136 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[14] [6]),
- .q(\dmd_data_filter[13] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b137 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[14] [7]),
- .q(\dmd_data_filter[13] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b138 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[14] [8]),
- .q(\dmd_data_filter[13] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b139 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[14] [9]),
- .q(\dmd_data_filter[13] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b14 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[2] [4]),
- .q(\dmd_data_filter[1] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b140 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[15] [0]),
- .q(\dmd_data_filter[14] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b141 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[15] [1]),
- .q(\dmd_data_filter[14] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b142 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[15] [2]),
- .q(\dmd_data_filter[14] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b143 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[15] [3]),
- .q(\dmd_data_filter[14] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b144 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[15] [4]),
- .q(\dmd_data_filter[14] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b145 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[15] [5]),
- .q(\dmd_data_filter[14] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b146 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[15] [6]),
- .q(\dmd_data_filter[14] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b147 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[15] [7]),
- .q(\dmd_data_filter[14] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b148 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[15] [8]),
- .q(\dmd_data_filter[14] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b149 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[15] [9]),
- .q(\dmd_data_filter[14] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b15 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[2] [5]),
- .q(\dmd_data_filter[1] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b150 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[16] [0]),
- .q(\dmd_data_filter[15] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b151 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[16] [1]),
- .q(\dmd_data_filter[15] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b152 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[16] [2]),
- .q(\dmd_data_filter[15] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b153 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[16] [3]),
- .q(\dmd_data_filter[15] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b154 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[16] [4]),
- .q(\dmd_data_filter[15] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b155 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[16] [5]),
- .q(\dmd_data_filter[15] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b156 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[16] [6]),
- .q(\dmd_data_filter[15] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b157 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[16] [7]),
- .q(\dmd_data_filter[15] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b158 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[16] [8]),
- .q(\dmd_data_filter[15] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b159 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[16] [9]),
- .q(\dmd_data_filter[15] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b16 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[2] [6]),
- .q(\dmd_data_filter[1] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b160 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[17] [0]),
- .q(\dmd_data_filter[16] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b161 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[17] [1]),
- .q(\dmd_data_filter[16] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b162 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[17] [2]),
- .q(\dmd_data_filter[16] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b163 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[17] [3]),
- .q(\dmd_data_filter[16] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b164 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[17] [4]),
- .q(\dmd_data_filter[16] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b165 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[17] [5]),
- .q(\dmd_data_filter[16] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b166 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[17] [6]),
- .q(\dmd_data_filter[16] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b167 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[17] [7]),
- .q(\dmd_data_filter[16] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b168 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[17] [8]),
- .q(\dmd_data_filter[16] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b169 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[17] [9]),
- .q(\dmd_data_filter[16] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b17 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[2] [7]),
- .q(\dmd_data_filter[1] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b170 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[18] [0]),
- .q(\dmd_data_filter[17] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b171 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[18] [1]),
- .q(\dmd_data_filter[17] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b172 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[18] [2]),
- .q(\dmd_data_filter[17] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b173 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[18] [3]),
- .q(\dmd_data_filter[17] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b174 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[18] [4]),
- .q(\dmd_data_filter[17] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b175 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[18] [5]),
- .q(\dmd_data_filter[17] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b176 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[18] [6]),
- .q(\dmd_data_filter[17] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b177 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[18] [7]),
- .q(\dmd_data_filter[17] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b178 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[18] [8]),
- .q(\dmd_data_filter[17] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b179 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[18] [9]),
- .q(\dmd_data_filter[17] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b18 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[2] [8]),
- .q(\dmd_data_filter[1] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b180 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[19] [0]),
- .q(\dmd_data_filter[18] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b181 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[19] [1]),
- .q(\dmd_data_filter[18] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b182 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[19] [2]),
- .q(\dmd_data_filter[18] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b183 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[19] [3]),
- .q(\dmd_data_filter[18] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b184 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[19] [4]),
- .q(\dmd_data_filter[18] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b185 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[19] [5]),
- .q(\dmd_data_filter[18] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b186 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[19] [6]),
- .q(\dmd_data_filter[18] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b187 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[19] [7]),
- .q(\dmd_data_filter[18] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b188 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[19] [8]),
- .q(\dmd_data_filter[18] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b189 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[19] [9]),
- .q(\dmd_data_filter[18] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b19 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[2] [9]),
- .q(\dmd_data_filter[1] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b190 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[20] [0]),
- .q(\dmd_data_filter[19] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b191 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[20] [1]),
- .q(\dmd_data_filter[19] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b192 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[20] [2]),
- .q(\dmd_data_filter[19] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b193 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[20] [3]),
- .q(\dmd_data_filter[19] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b194 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[20] [4]),
- .q(\dmd_data_filter[19] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b195 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[20] [5]),
- .q(\dmd_data_filter[19] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b196 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[20] [6]),
- .q(\dmd_data_filter[19] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b197 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[20] [7]),
- .q(\dmd_data_filter[19] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b198 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[20] [8]),
- .q(\dmd_data_filter[19] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b199 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[20] [9]),
- .q(\dmd_data_filter[19] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b20 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[3] [0]),
- .q(\dmd_data_filter[2] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b200 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(demodulated_signal_temp[7]),
- .q(\dmd_data_filter[20] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b201 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(demodulated_signal_temp[8]),
- .q(\dmd_data_filter[20] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b202 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(demodulated_signal_temp[9]),
- .q(\dmd_data_filter[20] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b203 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(demodulated_signal_temp[10]),
- .q(\dmd_data_filter[20] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b204 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(demodulated_signal_temp[11]),
- .q(\dmd_data_filter[20] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b205 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(demodulated_signal_temp[12]),
- .q(\dmd_data_filter[20] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b206 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(demodulated_signal_temp[13]),
- .q(\dmd_data_filter[20] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b207 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(demodulated_signal_temp[14]),
- .q(\dmd_data_filter[20] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b208 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(demodulated_signal_temp[15]),
- .q(\dmd_data_filter[20] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b209 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(demodulated_signal_temp[16]),
- .q(\dmd_data_filter[20] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b21 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[3] [1]),
- .q(\dmd_data_filter[2] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b22 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[3] [2]),
- .q(\dmd_data_filter[2] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b23 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[3] [3]),
- .q(\dmd_data_filter[2] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b24 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[3] [4]),
- .q(\dmd_data_filter[2] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b25 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[3] [5]),
- .q(\dmd_data_filter[2] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b26 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[3] [6]),
- .q(\dmd_data_filter[2] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b27 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[3] [7]),
- .q(\dmd_data_filter[2] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b28 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[3] [8]),
- .q(\dmd_data_filter[2] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b29 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[3] [9]),
- .q(\dmd_data_filter[2] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b30 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[4] [0]),
- .q(\dmd_data_filter[3] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b31 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[4] [1]),
- .q(\dmd_data_filter[3] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b32 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[4] [2]),
- .q(\dmd_data_filter[3] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b33 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[4] [3]),
- .q(\dmd_data_filter[3] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b34 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[4] [4]),
- .q(\dmd_data_filter[3] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b35 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[4] [5]),
- .q(\dmd_data_filter[3] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b36 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[4] [6]),
- .q(\dmd_data_filter[3] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b37 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[4] [7]),
- .q(\dmd_data_filter[3] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b38 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[4] [8]),
- .q(\dmd_data_filter[3] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b39 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[4] [9]),
- .q(\dmd_data_filter[3] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b40 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[5] [0]),
- .q(\dmd_data_filter[4] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b41 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[5] [1]),
- .q(\dmd_data_filter[4] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b42 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[5] [2]),
- .q(\dmd_data_filter[4] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b43 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[5] [3]),
- .q(\dmd_data_filter[4] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b44 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[5] [4]),
- .q(\dmd_data_filter[4] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b45 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[5] [5]),
- .q(\dmd_data_filter[4] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b46 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[5] [6]),
- .q(\dmd_data_filter[4] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b47 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[5] [7]),
- .q(\dmd_data_filter[4] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b48 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[5] [8]),
- .q(\dmd_data_filter[4] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b49 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[5] [9]),
- .q(\dmd_data_filter[4] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b50 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[6] [0]),
- .q(\dmd_data_filter[5] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b51 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[6] [1]),
- .q(\dmd_data_filter[5] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b52 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[6] [2]),
- .q(\dmd_data_filter[5] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b53 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[6] [3]),
- .q(\dmd_data_filter[5] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b54 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[6] [4]),
- .q(\dmd_data_filter[5] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b55 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[6] [5]),
- .q(\dmd_data_filter[5] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b56 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[6] [6]),
- .q(\dmd_data_filter[5] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b57 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[6] [7]),
- .q(\dmd_data_filter[5] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b58 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[6] [8]),
- .q(\dmd_data_filter[5] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b59 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[6] [9]),
- .q(\dmd_data_filter[5] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b60 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[7] [0]),
- .q(\dmd_data_filter[6] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b61 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[7] [1]),
- .q(\dmd_data_filter[6] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b62 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[7] [2]),
- .q(\dmd_data_filter[6] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b63 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[7] [3]),
- .q(\dmd_data_filter[6] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b64 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[7] [4]),
- .q(\dmd_data_filter[6] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b65 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[7] [5]),
- .q(\dmd_data_filter[6] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b66 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[7] [6]),
- .q(\dmd_data_filter[6] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b67 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[7] [7]),
- .q(\dmd_data_filter[6] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b68 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[7] [8]),
- .q(\dmd_data_filter[6] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b69 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[7] [9]),
- .q(\dmd_data_filter[6] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b70 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[8] [0]),
- .q(\dmd_data_filter[7] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b71 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[8] [1]),
- .q(\dmd_data_filter[7] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b72 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[8] [2]),
- .q(\dmd_data_filter[7] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b73 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[8] [3]),
- .q(\dmd_data_filter[7] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b74 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[8] [4]),
- .q(\dmd_data_filter[7] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b75 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[8] [5]),
- .q(\dmd_data_filter[7] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b76 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[8] [6]),
- .q(\dmd_data_filter[7] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b77 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[8] [7]),
- .q(\dmd_data_filter[7] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b78 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[8] [8]),
- .q(\dmd_data_filter[7] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b79 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[8] [9]),
- .q(\dmd_data_filter[7] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b80 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[9] [0]),
- .q(\dmd_data_filter[8] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b81 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[9] [1]),
- .q(\dmd_data_filter[8] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b82 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[9] [2]),
- .q(\dmd_data_filter[8] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b83 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[9] [3]),
- .q(\dmd_data_filter[8] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b84 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[9] [4]),
- .q(\dmd_data_filter[8] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b85 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[9] [5]),
- .q(\dmd_data_filter[8] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b86 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[9] [6]),
- .q(\dmd_data_filter[8] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b87 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[9] [7]),
- .q(\dmd_data_filter[8] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b88 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[9] [8]),
- .q(\dmd_data_filter[8] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b89 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[9] [9]),
- .q(\dmd_data_filter[8] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b90 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[10] [0]),
- .q(\dmd_data_filter[9] [0])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b91 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[10] [1]),
- .q(\dmd_data_filter[9] [1])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b92 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[10] [2]),
- .q(\dmd_data_filter[9] [2])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b93 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[10] [3]),
- .q(\dmd_data_filter[9] [3])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b94 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[10] [4]),
- .q(\dmd_data_filter[9] [4])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b95 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[10] [5]),
- .q(\dmd_data_filter[9] [5])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b96 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[10] [6]),
- .q(\dmd_data_filter[9] [6])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b97 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[10] [7]),
- .q(\dmd_data_filter[9] [7])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b98 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[10] [8]),
- .q(\dmd_data_filter[9] [8])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg5_b99 (
- .ce(RSTn),
- .clk(EOC_Count_Demodulate),
- .d(\dmd_data_filter[10] [9]),
- .q(\dmd_data_filter[9] [9])); // ../rtl/demodulation/FM_Demodulation.v(88)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg6_b0 (
- .clk(clk_fm_demo_sampling),
- .d(dmd_data_filtered[13]),
- .sr(RSTn),
- .q(demodulated_signal_sample[0])); // ../rtl/demodulation/FM_Demodulation.v(146)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg6_b1 (
- .clk(clk_fm_demo_sampling),
- .d(dmd_data_filtered[14]),
- .sr(RSTn),
- .q(demodulated_signal_sample[1])); // ../rtl/demodulation/FM_Demodulation.v(146)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg6_b2 (
- .clk(clk_fm_demo_sampling),
- .d(dmd_data_filtered[15]),
- .sr(RSTn),
- .q(demodulated_signal_sample[2])); // ../rtl/demodulation/FM_Demodulation.v(146)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg6_b3 (
- .clk(clk_fm_demo_sampling),
- .d(dmd_data_filtered[16]),
- .sr(RSTn),
- .q(demodulated_signal_sample[3])); // ../rtl/demodulation/FM_Demodulation.v(146)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg6_b4 (
- .clk(clk_fm_demo_sampling),
- .d(dmd_data_filtered[17]),
- .sr(RSTn),
- .q(demodulated_signal_sample[4])); // ../rtl/demodulation/FM_Demodulation.v(146)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg6_b5 (
- .clk(clk_fm_demo_sampling),
- .d(dmd_data_filtered[18]),
- .sr(RSTn),
- .q(demodulated_signal_sample[5])); // ../rtl/demodulation/FM_Demodulation.v(146)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg6_b6 (
- .clk(clk_fm_demo_sampling),
- .d(dmd_data_filtered[19]),
- .sr(RSTn),
- .q(demodulated_signal_sample[6])); // ../rtl/demodulation/FM_Demodulation.v(146)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg6_b7 (
- .clk(clk_fm_demo_sampling),
- .d(dmd_data_filtered[20]),
- .sr(RSTn),
- .q(demodulated_signal_sample[7])); // ../rtl/demodulation/FM_Demodulation.v(146)
- AL_MAP_SEQ #(
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- reg6_b8 (
- .clk(clk_fm_demo_sampling),
- .d(dmd_data_filtered[21]),
- .sr(RSTn),
- .q(demodulated_signal_sample[8])); // ../rtl/demodulation/FM_Demodulation.v(146)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b0 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(IdataN_1[0]),
- .q(IdataN[0])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b1 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(IdataN_1[1]),
- .q(IdataN[1])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b2 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(IdataN_1[2]),
- .q(IdataN[2])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b3 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(IdataN_1[3]),
- .q(IdataN[3])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b4 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(IdataN_1[4]),
- .q(IdataN[4])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b5 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(IdataN_1[5]),
- .q(IdataN[5])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b6 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(IdataN_1[6]),
- .q(IdataN[6])); // ../rtl/demodulation/FM_Demodulation.v(56)
- AL_MAP_SEQ #(
- .CEMUX("CE"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .REGSET("RESET"),
- .SRMODE("ASYNC"),
- .SRMUX("0"))
- reg7_b7 (
- .ce(mux4_b0_sel_is_3_o),
- .clk(EOC),
- .d(IdataN_1[7]),
- .q(IdataN[7])); // ../rtl/demodulation/FM_Demodulation.v(56)
- EG_PHY_MSLICE #(
- //.MACRO("sub0_2/u0|sub0_2/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("SUB_CARRY"),
- .INIT_LUT0(16'b0000000000000101),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0_2/u0|sub0_2/ucin (
- .a({n13[0],1'b0}),
- .b({n14[0],open_n2721}),
- .fco(\sub0_2/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0_2/u0|sub0_2/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0_2/u2|sub0_2/u1 (
- .a(n13[2:1]),
- .b(n14[2:1]),
- .fci(\sub0_2/c1 ),
- .fco(\sub0_2/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0_2/u0|sub0_2/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0_2/u4|sub0_2/u3 (
- .a(n13[4:3]),
- .b(n14[4:3]),
- .fci(\sub0_2/c3 ),
- .fco(\sub0_2/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0_2/u0|sub0_2/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("SUB"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"))
- \sub0_2/u6|sub0_2/u5 (
- .a(n13[6:5]),
- .b(n14[6:5]),
- .fci(\sub0_2/c5 ),
- .fco(\sub0_2/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("sub0_2/u0|sub0_2/ucin"),
- //.R_POSITION("X0Y4Z0"),
- .ALUTYPE("SUB"),
- .CEMUX("1"),
- .CLKMUX("CLK"),
- .DFFMODE("FF"),
- .INIT_LUT0(16'b1001100110011010),
- .INIT_LUT1(16'b1001100110011010),
- .MODE("RIPPLE"),
- .REG0_REGSET("RESET"),
- .REG0_SD("F"),
- .SRMODE("ASYNC"),
- .SRMUX("INV"))
- \sub0_2/ucout|reg3_b15 (
- .a({open_n2819,n13[15]}),
- .b({open_n2820,n14[15]}),
- .clk(EOC_Count_Demodulate),
- .fci(\sub0_2/c15 ),
- .sr(RSTn),
- .f({sub0_2_co,open_n2837}),
- .q({open_n2841,demodulated_signal_temp[15]}));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/u0|u1/ucin (
- .a({n17[3],1'b0}),
- .b({n64[3],open_n2842}),
- .f({n2[0],open_n2862}),
- .fco(\u1/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/u10|u1/u9 (
- .a(n17[13:12]),
- .b(n64[13:12]),
- .fci(\u1/c9 ),
- .f(n2[10:9]),
- .fco(\u1/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/u2|u1/u1 (
- .a(n17[5:4]),
- .b(n64[5:4]),
- .fci(\u1/c1 ),
- .f(n2[2:1]),
- .fco(\u1/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/u4|u1/u3 (
- .a(n17[7:6]),
- .b(n64[7:6]),
- .fci(\u1/c3 ),
- .f(n2[4:3]),
- .fco(\u1/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/u6|u1/u5 (
- .a(n17[9:8]),
- .b(n64[9:8]),
- .fci(\u1/c5 ),
- .f(n2[6:5]),
- .fco(\u1/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/u8|u1/u7 (
- .a(n17[11:10]),
- .b(n64[11:10]),
- .fci(\u1/c7 ),
- .f(n2[8:7]),
- .fco(\u1/c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("u1/u0|u1/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u1/ucout|u1/u11 (
- .a({open_n2977,n17[14]}),
- .b({open_n2978,n64[14]}),
- .fci(\u1/c11 ),
- .f(n2[12:11]));
- EG_PHY_LSLICE #(
- //.MACRO("u10/ucin_al_u54"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u10/u11_al_u57 (
- .a({n50[13],n50[11]}),
- .b({n50[14],n50[12]}),
- .c(2'b00),
- .d({n48[13],n48[11]}),
- .e({n48[14],n48[12]}),
- .fci(\u10/c11 ),
- .f({n12[13],n12[11]}),
- .fco(\u10/c15 ),
- .fx({n12[14],n12[12]}));
- EG_PHY_LSLICE #(
- //.MACRO("u10/ucin_al_u54"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u10/u15_al_u58 (
- .a({n50[17],n50[15]}),
- .b({open_n3020,n50[16]}),
- .c(2'b00),
- .d({n48[17],n48[15]}),
- .e({open_n3023,n48[16]}),
- .fci(\u10/c15 ),
- .f({n12[17],n12[15]}),
- .fx({n12[18],n12[16]}));
- EG_PHY_LSLICE #(
- //.MACRO("u10/ucin_al_u54"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u10/u3_al_u55 (
- .a({n50[5],n50[3]}),
- .b({n50[6],n50[4]}),
- .c(2'b00),
- .d({n48[5],n48[3]}),
- .e({n48[6],n48[4]}),
- .fci(\u10/c3 ),
- .f({n12[5],n12[3]}),
- .fco(\u10/c7 ),
- .fx({n12[6],n12[4]}));
- EG_PHY_LSLICE #(
- //.MACRO("u10/ucin_al_u54"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u10/u7_al_u56 (
- .a({n50[9],n50[7]}),
- .b({n50[10],n50[8]}),
- .c(2'b00),
- .d({n48[9],n48[7]}),
- .e({n48[10],n48[8]}),
- .fci(\u10/c7 ),
- .f({n12[9],n12[7]}),
- .fco(\u10/c11 ),
- .fx({n12[10],n12[8]}));
- EG_PHY_LSLICE #(
- //.MACRO("u10/ucin_al_u54"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u10/ucin_al_u54 (
- .a({n42[1],1'b0}),
- .b({n42[2],n17[0]}),
- .c(2'b00),
- .d({n39[1],1'b1}),
- .e({n39[2],n64[0]}),
- .f({n12[1],open_n3094}),
- .fco(\u10/c3 ),
- .fx({n12[2],n12[0]}));
- EG_PHY_LSLICE #(
- //.MACRO("u11/ucin_al_u59"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u11/u11_al_u62 (
- .a({n52[13],n52[11]}),
- .b({n52[14],n52[12]}),
- .c(2'b00),
- .d({n54[13],n54[11]}),
- .e({n54[14],n54[12]}),
- .fci(\u11/c11 ),
- .f({n16[13],n16[11]}),
- .fco(\u11/c15 ),
- .fx({n16[14],n16[12]}));
- EG_PHY_LSLICE #(
- //.MACRO("u11/ucin_al_u59"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u11/u15_al_u63 (
- .a({n52[17],n52[15]}),
- .b({open_n3115,n52[16]}),
- .c(2'b00),
- .d({n54[17],n54[15]}),
- .e({open_n3118,n54[16]}),
- .fci(\u11/c15 ),
- .f({n16[17],n16[15]}),
- .fx({n16[18],n16[16]}));
- EG_PHY_LSLICE #(
- //.MACRO("u11/ucin_al_u59"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u11/u3_al_u60 (
- .a({n52[5],n52[3]}),
- .b({n52[6],n52[4]}),
- .c(2'b00),
- .d({n54[5],n54[3]}),
- .e({n54[6],n54[4]}),
- .fci(\u11/c3 ),
- .f({n16[5],n16[3]}),
- .fco(\u11/c7 ),
- .fx({n16[6],n16[4]}));
- EG_PHY_LSLICE #(
- //.MACRO("u11/ucin_al_u59"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u11/u7_al_u61 (
- .a({n52[9],n52[7]}),
- .b({n52[10],n52[8]}),
- .c(2'b00),
- .d({n54[9],n54[7]}),
- .e({n54[10],n54[8]}),
- .fci(\u11/c7 ),
- .f({n16[9],n16[7]}),
- .fco(\u11/c11 ),
- .fx({n16[10],n16[8]}));
- EG_PHY_LSLICE #(
- //.MACRO("u11/ucin_al_u59"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u11/ucin_al_u59 (
- .a({n46[1],1'b0}),
- .b({n46[2],n21[0]}),
- .c(2'b00),
- .d({n48[1],1'b1}),
- .e({n48[2],n60[0]}),
- .f({n16[1],open_n3189}),
- .fco(\u11/c3 ),
- .fx({n16[2],n16[0]}));
- EG_PHY_LSLICE #(
- //.MACRO("u12/ucin_al_u64"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u12/u11_al_u67 (
- .a({n44[13],n44[11]}),
- .b({n44[14],n44[12]}),
- .c(2'b00),
- .d({n10[12],n10[10]}),
- .e({n10[13],n10[11]}),
- .fci(\u12/c11 ),
- .f({n19[13],n19[11]}),
- .fco(\u12/c15 ),
- .fx({n19[14],n19[12]}));
- EG_PHY_LSLICE #(
- //.MACRO("u12/ucin_al_u64"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u12/u15_al_u68 (
- .a({n44[17],n44[15]}),
- .b({open_n3210,n44[16]}),
- .c(2'b00),
- .d({n30[17],n10[14]}),
- .e({open_n3213,n24[16]}),
- .fci(\u12/c15 ),
- .f({n19[17],n19[15]}),
- .fx({n19[18],n19[16]}));
- EG_PHY_LSLICE #(
- //.MACRO("u12/ucin_al_u64"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u12/u3_al_u65 (
- .a({n44[5],n44[3]}),
- .b({n44[6],n44[4]}),
- .c(2'b00),
- .d({n10[4],n10[2]}),
- .e({n10[5],n10[3]}),
- .fci(\u12/c3 ),
- .f({n19[5],n19[3]}),
- .fco(\u12/c7 ),
- .fx({n19[6],n19[4]}));
- EG_PHY_LSLICE #(
- //.MACRO("u12/ucin_al_u64"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u12/u7_al_u66 (
- .a({n44[9],n44[7]}),
- .b({n44[10],n44[8]}),
- .c(2'b00),
- .d({n10[8],n10[6]}),
- .e({n10[9],n10[7]}),
- .fci(\u12/c7 ),
- .f({n19[9],n19[7]}),
- .fco(\u12/c11 ),
- .fx({n19[10],n19[8]}));
- EG_PHY_LSLICE #(
- //.MACRO("u12/ucin_al_u64"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u12/ucin_al_u64 (
- .a({n50[1],1'b0}),
- .b({n50[2],n24[0]}),
- .c(2'b00),
- .d({n52[1],1'b1}),
- .e({n52[2],n58[0]}),
- .f({n19[1],open_n3284}),
- .fco(\u12/c3 ),
- .fx({n19[2],n19[0]}));
- EG_PHY_MSLICE #(
- //.MACRO("u13/u0|u13/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u13/u0|u13/ucin (
- .a({n33[0],1'b0}),
- .b({n36[0],open_n3287}),
- .f({n20[0],open_n3307}),
- .fco(\u13/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("u13/u0|u13/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u13/u10|u13/u9 (
- .a(n12[10:9]),
- .b(n16[10:9]),
- .fci(\u13/c9 ),
- .f(n20[10:9]),
- .fco(\u13/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("u13/u0|u13/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u13/u12|u13/u11 (
- .a(n12[12:11]),
- .b(n16[12:11]),
- .fci(\u13/c11 ),
- .f(n20[12:11]),
- .fco(\u13/c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("u13/u0|u13/ucin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u13/u14|u13/u13 (
- .a(n12[14:13]),
- .b(n16[14:13]),
- .fci(\u13/c13 ),
- .f(n20[14:13]),
- .fco(\u13/c15 ));
- EG_PHY_MSLICE #(
- //.MACRO("u13/u0|u13/ucin"),
- //.R_POSITION("X0Y4Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u13/u16|u13/u15 (
- .a(n12[16:15]),
- .b({n10[15],n16[15]}),
- .fci(\u13/c15 ),
- .f(n20[16:15]),
- .fco(\u13/c17 ));
- EG_PHY_MSLICE #(
- //.MACRO("u13/u0|u13/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u13/u2|u13/u1 (
- .a(n44[2:1]),
- .b(n10[1:0]),
- .fci(\u13/c1 ),
- .f(n20[2:1]),
- .fco(\u13/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("u13/u0|u13/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u13/u4|u13/u3 (
- .a(n12[4:3]),
- .b(n16[4:3]),
- .fci(\u13/c3 ),
- .f(n20[4:3]),
- .fco(\u13/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("u13/u0|u13/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u13/u6|u13/u5 (
- .a(n12[6:5]),
- .b(n16[6:5]),
- .fci(\u13/c5 ),
- .f(n20[6:5]),
- .fco(\u13/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("u13/u0|u13/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u13/u8|u13/u7 (
- .a(n12[8:7]),
- .b(n16[8:7]),
- .fci(\u13/c7 ),
- .f(n20[8:7]),
- .fco(\u13/c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("u13/u0|u13/ucin"),
- //.R_POSITION("X0Y4Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u13/ucout|u13/u17 (
- .a({open_n3488,n33[17]}),
- .b({open_n3489,n36[17]}),
- .fci(\u13/c17 ),
- .f(n20[18:17]));
- EG_PHY_MSLICE #(
- //.MACRO("u14/u0|u14/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u14/u0|u14/ucin (
- .a({n39[0],1'b0}),
- .b({n48[0],open_n3513}),
- .f({n22[0],open_n3533}),
- .fco(\u14/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("u14/u0|u14/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u14/u10|u14/u9 (
- .a(n2[7:6]),
- .b(n3[7:6]),
- .fci(\u14/c9 ),
- .f(n22[10:9]),
- .fco(\u14/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("u14/u0|u14/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u14/u12|u14/u11 (
- .a(n2[9:8]),
- .b(n3[9:8]),
- .fci(\u14/c11 ),
- .f(n22[12:11]),
- .fco(\u14/c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("u14/u0|u14/ucin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u14/u14|u14/u13 (
- .a(n2[11:10]),
- .b(n3[11:10]),
- .fci(\u14/c13 ),
- .f(n22[14:13]),
- .fco(\u14/c15 ));
- EG_PHY_MSLICE #(
- //.MACRO("u14/u0|u14/ucin"),
- //.R_POSITION("X0Y4Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u14/u16|u14/u15 (
- .a({n16[16],n2[12]}),
- .b(n3[13:12]),
- .fci(\u14/c15 ),
- .f(n22[16:15]),
- .fco(\u14/c17 ));
- EG_PHY_MSLICE #(
- //.MACRO("u14/u0|u14/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u14/u2|u14/u1 (
- .a(n12[2:1]),
- .b(n16[2:1]),
- .fci(\u14/c1 ),
- .f(n22[2:1]),
- .fco(\u14/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("u14/u0|u14/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u14/u4|u14/u3 (
- .a(n2[1:0]),
- .b(n3[1:0]),
- .fci(\u14/c3 ),
- .f(n22[4:3]),
- .fco(\u14/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("u14/u0|u14/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u14/u6|u14/u5 (
- .a(n2[3:2]),
- .b(n3[3:2]),
- .fci(\u14/c5 ),
- .f(n22[6:5]),
- .fco(\u14/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("u14/u0|u14/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u14/u8|u14/u7 (
- .a(n2[5:4]),
- .b(n3[5:4]),
- .fci(\u14/c7 ),
- .f(n22[8:7]),
- .fco(\u14/c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("u14/u0|u14/ucin"),
- //.R_POSITION("X0Y4Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u14/ucout|u14/u17 (
- .a({open_n3714,n10[16]}),
- .b({open_n3715,n12[17]}),
- .fci(\u14/c17 ),
- .f(n22[18:17]));
- EG_PHY_LSLICE #(
- //.MACRO("u15/ucin_al_u30"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u15/u11_al_u33 (
- .a({n6[12],n6[10]}),
- .b({n6[13],n6[11]}),
- .c(2'b00),
- .d({n5[12],n5[10]}),
- .e({n5[13],n5[11]}),
- .fci(\u15/c11 ),
- .f({n23[13],n23[11]}),
- .fco(\u15/c15 ),
- .fx({n23[14],n23[12]}));
- EG_PHY_LSLICE #(
- //.MACRO("u15/ucin_al_u30"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u15/u15_al_u34 (
- .a({n6[16],n6[14]}),
- .b({n44[18],n6[15]}),
- .c(2'b00),
- .d({n16[17],n5[14]}),
- .e({n10[17],n5[15]}),
- .fci(\u15/c15 ),
- .f({n23[17],n23[15]}),
- .fco(\u15/c19 ),
- .fx({n23[18],n23[16]}));
- EG_PHY_LSLICE #(
- //.MACRO("u15/ucin_al_u30"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u15/u3_al_u31 (
- .a({n6[4],n6[2]}),
- .b({n6[5],n6[3]}),
- .c(2'b00),
- .d({n5[4],n5[2]}),
- .e({n5[5],n5[3]}),
- .fci(\u15/c3 ),
- .f({n23[5],n23[3]}),
- .fco(\u15/c7 ),
- .fx({n23[6],n23[4]}));
- EG_PHY_LSLICE #(
- //.MACRO("u15/ucin_al_u30"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u15/u7_al_u32 (
- .a({n6[8],n6[6]}),
- .b({n6[9],n6[7]}),
- .c(2'b00),
- .d({n5[8],n5[6]}),
- .e({n5[9],n5[7]}),
- .fci(\u15/c7 ),
- .f({n23[9],n23[7]}),
- .fco(\u15/c11 ),
- .fx({n23[10],n23[8]}));
- EG_PHY_LSLICE #(
- //.MACRO("u15/ucin_al_u30"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u15/ucin_al_u30 (
- .a({n19[1],1'b0}),
- .b({n19[2],n50[0]}),
- .c(2'b00),
- .d({n5[0],1'b1}),
- .e({n5[1],n52[0]}),
- .f({n23[1],open_n3828}),
- .fco(\u15/c3 ),
- .fx({n23[2],n23[0]}));
- EG_PHY_LSLICE #(
- //.MACRO("u15/ucin_al_u30"),
- //.R_POSITION("X0Y2Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u15/ucout_al_u35 (
- .c(2'b00),
- .fci(\u15/c19 ),
- .f({open_n3855,n23[19]}));
- EG_PHY_LSLICE #(
- //.MACRO("u16/ucin_al_u36"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u16/u11_al_u39 (
- .a({n9[12],n9[10]}),
- .b({n9[13],n9[11]}),
- .c(2'b00),
- .d({n8[12],n8[10]}),
- .e({n8[13],n8[11]}),
- .fci(\u16/c11 ),
- .f({n25[13],n25[11]}),
- .fco(\u16/c15 ),
- .fx({n25[14],n25[12]}));
- EG_PHY_LSLICE #(
- //.MACRO("u16/ucin_al_u36"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u16/u15_al_u40 (
- .a({n9[16],n9[14]}),
- .b({n9[17],n9[15]}),
- .c(2'b00),
- .d({n8[16],n8[14]}),
- .e({n16[18],n8[15]}),
- .fci(\u16/c15 ),
- .f({n25[17],n25[15]}),
- .fco(\u16/c19 ),
- .fx({n25[18],n25[16]}));
- EG_PHY_LSLICE #(
- //.MACRO("u16/ucin_al_u36"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u16/u3_al_u37 (
- .a({n9[4],n9[2]}),
- .b({n9[5],n9[3]}),
- .c(2'b00),
- .d({n8[4],n8[2]}),
- .e({n8[5],n8[3]}),
- .fci(\u16/c3 ),
- .f({n25[5],n25[3]}),
- .fco(\u16/c7 ),
- .fx({n25[6],n25[4]}));
- EG_PHY_LSLICE #(
- //.MACRO("u16/ucin_al_u36"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u16/u7_al_u38 (
- .a({n9[8],n9[6]}),
- .b({n9[9],n9[7]}),
- .c(2'b00),
- .d({n8[8],n8[6]}),
- .e({n8[9],n8[7]}),
- .fci(\u16/c7 ),
- .f({n25[9],n25[7]}),
- .fco(\u16/c11 ),
- .fx({n25[10],n25[8]}));
- EG_PHY_LSLICE #(
- //.MACRO("u16/ucin_al_u36"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u16/ucin_al_u36 (
- .a({n7[0],1'b0}),
- .b({n7[1],n12[0]}),
- .c(2'b00),
- .d({n8[0],1'b1}),
- .e({n8[1],n16[0]}),
- .f({n25[1],open_n3950}),
- .fco(\u16/c3 ),
- .fx({n25[2],n25[0]}));
- EG_PHY_LSLICE #(
- //.MACRO("u16/ucin_al_u36"),
- //.R_POSITION("X0Y2Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u16/ucout_al_u41 (
- .c(2'b00),
- .fci(\u16/c19 ),
- .f({open_n3977,n25[19]}));
- EG_PHY_LSLICE #(
- //.MACRO("u17/ucin_al_u42"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u17/u11_al_u45 (
- .a({n7[12],n7[10]}),
- .b({n7[13],n7[11]}),
- .c(2'b00),
- .d({n19[13],n19[11]}),
- .e({n19[14],n19[12]}),
- .fci(\u17/c11 ),
- .f({n26[13],n26[11]}),
- .fco(\u17/c15 ),
- .fx({n26[14],n26[12]}));
- EG_PHY_LSLICE #(
- //.MACRO("u17/ucin_al_u42"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u17/u15_al_u46 (
- .a({n7[16],n7[14]}),
- .b({n12[18],n7[15]}),
- .c(2'b00),
- .d({n19[17],n19[15]}),
- .e({n19[18],n19[16]}),
- .fci(\u17/c15 ),
- .f({n26[17],n26[15]}),
- .fco(\u17/c19 ),
- .fx({n26[18],n26[16]}));
- EG_PHY_LSLICE #(
- //.MACRO("u17/ucin_al_u42"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u17/u3_al_u43 (
- .a({n7[4],n7[2]}),
- .b({n7[5],n7[3]}),
- .c(2'b00),
- .d({n19[5],n19[3]}),
- .e({n19[6],n19[4]}),
- .fci(\u17/c3 ),
- .f({n26[5],n26[3]}),
- .fco(\u17/c7 ),
- .fx({n26[6],n26[4]}));
- EG_PHY_LSLICE #(
- //.MACRO("u17/ucin_al_u42"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u17/u7_al_u44 (
- .a({n7[8],n7[6]}),
- .b({n7[9],n7[7]}),
- .c(2'b00),
- .d({n19[9],n19[7]}),
- .e({n19[10],n19[8]}),
- .fci(\u17/c7 ),
- .f({n26[9],n26[7]}),
- .fco(\u17/c11 ),
- .fx({n26[10],n26[8]}));
- EG_PHY_LSLICE #(
- //.MACRO("u17/ucin_al_u42"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u17/ucin_al_u42 (
- .a({n6[0],1'b0}),
- .b({n6[1],n44[0]}),
- .c(2'b00),
- .d({n9[0],1'b1}),
- .e({n9[1],n19[0]}),
- .f({n26[1],open_n4072}),
- .fco(\u17/c3 ),
- .fx({n26[2],n26[0]}));
- EG_PHY_LSLICE #(
- //.MACRO("u17/ucin_al_u42"),
- //.R_POSITION("X0Y2Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u17/ucout_al_u47 (
- .c(2'b00),
- .fci(\u17/c19 ),
- .f({open_n4099,n26[19]}));
- EG_PHY_LSLICE #(
- //.MACRO("u18/ucin_al_u48"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u18/u11_al_u51 (
- .a({n20[13],n20[11]}),
- .b({n20[14],n20[12]}),
- .c(2'b00),
- .d({n22[13],n22[11]}),
- .e({n22[14],n22[12]}),
- .fci(\u18/c11 ),
- .f({n28[13],n28[11]}),
- .fco(\u18/c15 ),
- .fx({n28[14],n28[12]}));
- EG_PHY_LSLICE #(
- //.MACRO("u18/ucin_al_u48"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u18/u15_al_u52 (
- .a({n20[17],n20[15]}),
- .b({n20[18],n20[16]}),
- .c(2'b00),
- .d({n22[17],n22[15]}),
- .e({n22[18],n22[16]}),
- .fci(\u18/c15 ),
- .f({n28[17],n28[15]}),
- .fco(\u18/c19 ),
- .fx({n28[18],n28[16]}));
- EG_PHY_LSLICE #(
- //.MACRO("u18/ucin_al_u48"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u18/u3_al_u49 (
- .a({n20[5],n20[3]}),
- .b({n20[6],n20[4]}),
- .c(2'b00),
- .d({n22[5],n22[3]}),
- .e({n22[6],n22[4]}),
- .fci(\u18/c3 ),
- .f({n28[5],n28[3]}),
- .fco(\u18/c7 ),
- .fx({n28[6],n28[4]}));
- EG_PHY_LSLICE #(
- //.MACRO("u18/ucin_al_u48"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u18/u7_al_u50 (
- .a({n20[9],n20[7]}),
- .b({n20[10],n20[8]}),
- .c(2'b00),
- .d({n22[9],n22[7]}),
- .e({n22[10],n22[8]}),
- .fci(\u18/c7 ),
- .f({n28[9],n28[7]}),
- .fco(\u18/c11 ),
- .fx({n28[10],n28[8]}));
- EG_PHY_LSLICE #(
- //.MACRO("u18/ucin_al_u48"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u18/ucin_al_u48 (
- .a({n20[1],1'b0}),
- .b({n20[2],n20[0]}),
- .c(2'b00),
- .d({n22[1],1'b1}),
- .e({n22[2],n22[0]}),
- .f({n28[1],open_n4194}),
- .fco(\u18/c3 ),
- .fx({n28[2],n28[0]}));
- EG_PHY_LSLICE #(
- //.MACRO("u18/ucin_al_u48"),
- //.R_POSITION("X0Y2Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u18/ucout_al_u53 (
- .c(2'b00),
- .fci(\u18/c19 ),
- .f({open_n4221,n28[19]}));
- EG_PHY_LSLICE #(
- //.MACRO("u19/ucin_al_u18"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u19/u11_al_u21 (
- .a({n23[13],n23[11]}),
- .b({n23[14],n23[12]}),
- .c(2'b00),
- .d({n25[13],n25[11]}),
- .e({n25[14],n25[12]}),
- .fci(\u19/c11 ),
- .f({n29[13],n29[11]}),
- .fco(\u19/c15 ),
- .fx({n29[14],n29[12]}));
- EG_PHY_LSLICE #(
- //.MACRO("u19/ucin_al_u18"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u19/u15_al_u22 (
- .a({n23[17],n23[15]}),
- .b({n23[18],n23[16]}),
- .c(2'b00),
- .d({n25[17],n25[15]}),
- .e({n25[18],n25[16]}),
- .fci(\u19/c15 ),
- .f({n29[17],n29[15]}),
- .fco(\u19/c19 ),
- .fx({n29[18],n29[16]}));
- EG_PHY_LSLICE #(
- //.MACRO("u19/ucin_al_u18"),
- //.R_POSITION("X0Y2Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u19/u19_al_u23 (
- .a({open_n4263,n23[19]}),
- .c(2'b00),
- .d({open_n4268,n25[19]}),
- .fci(\u19/c19 ),
- .f({open_n4285,n29[19]}),
- .fx({open_n4287,n29[20]}));
- EG_PHY_LSLICE #(
- //.MACRO("u19/ucin_al_u18"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u19/u3_al_u19 (
- .a({n23[5],n23[3]}),
- .b({n23[6],n23[4]}),
- .c(2'b00),
- .d({n25[5],n25[3]}),
- .e({n25[6],n25[4]}),
- .fci(\u19/c3 ),
- .f({n29[5],n29[3]}),
- .fco(\u19/c7 ),
- .fx({n29[6],n29[4]}));
- EG_PHY_LSLICE #(
- //.MACRO("u19/ucin_al_u18"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u19/u7_al_u20 (
- .a({n23[9],n23[7]}),
- .b({n23[10],n23[8]}),
- .c(2'b00),
- .d({n25[9],n25[7]}),
- .e({n25[10],n25[8]}),
- .fci(\u19/c7 ),
- .f({n29[9],n29[7]}),
- .fco(\u19/c11 ),
- .fx({n29[10],n29[8]}));
- EG_PHY_LSLICE #(
- //.MACRO("u19/ucin_al_u18"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u19/ucin_al_u18 (
- .a({n23[1],1'b0}),
- .b({n23[2],n23[0]}),
- .c(2'b00),
- .d({n25[1],1'b1}),
- .e({n25[2],n25[0]}),
- .f({n29[1],open_n4343}),
- .fco(\u19/c3 ),
- .fx({n29[2],n29[0]}));
- EG_PHY_LSLICE #(
- //.MACRO("u20/ucin_al_u24"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u20/u11_al_u27 (
- .a({n26[13],n26[11]}),
- .b({n26[14],n26[12]}),
- .c(2'b00),
- .d({n28[13],n28[11]}),
- .e({n28[14],n28[12]}),
- .fci(\u20/c11 ),
- .f({n31[13],n31[11]}),
- .fco(\u20/c15 ),
- .fx({n31[14],n31[12]}));
- EG_PHY_LSLICE #(
- //.MACRO("u20/ucin_al_u24"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u20/u15_al_u28 (
- .a({n26[17],n26[15]}),
- .b({n26[18],n26[16]}),
- .c(2'b00),
- .d({n28[17],n28[15]}),
- .e({n28[18],n28[16]}),
- .fci(\u20/c15 ),
- .f({n31[17],n31[15]}),
- .fco(\u20/c19 ),
- .fx({n31[18],n31[16]}));
- EG_PHY_LSLICE #(
- //.MACRO("u20/ucin_al_u24"),
- //.R_POSITION("X0Y2Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u20/u19_al_u29 (
- .a({open_n4382,n26[19]}),
- .c(2'b00),
- .d({open_n4387,n28[19]}),
- .fci(\u20/c19 ),
- .f({open_n4404,n31[19]}),
- .fx({open_n4406,n31[20]}));
- EG_PHY_LSLICE #(
- //.MACRO("u20/ucin_al_u24"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u20/u3_al_u25 (
- .a({n26[5],n26[3]}),
- .b({n26[6],n26[4]}),
- .c(2'b00),
- .d({n28[5],n28[3]}),
- .e({n28[6],n28[4]}),
- .fci(\u20/c3 ),
- .f({n31[5],n31[3]}),
- .fco(\u20/c7 ),
- .fx({n31[6],n31[4]}));
- EG_PHY_LSLICE #(
- //.MACRO("u20/ucin_al_u24"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u20/u7_al_u26 (
- .a({n26[9],n26[7]}),
- .b({n26[10],n26[8]}),
- .c(2'b00),
- .d({n28[9],n28[7]}),
- .e({n28[10],n28[8]}),
- .fci(\u20/c7 ),
- .f({n31[9],n31[7]}),
- .fco(\u20/c11 ),
- .fx({n31[10],n31[8]}));
- EG_PHY_LSLICE #(
- //.MACRO("u20/ucin_al_u24"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u20/ucin_al_u24 (
- .a({n26[1],1'b0}),
- .b({n26[2],n26[0]}),
- .c(2'b00),
- .d({n28[1],1'b1}),
- .e({n28[2],n28[0]}),
- .f({n31[1],open_n4462}),
- .fco(\u20/c3 ),
- .fx({n31[2],n31[0]}));
- EG_PHY_LSLICE #(
- //.MACRO("u21/ucin_al_u12"),
- //.R_POSITION("X0Y1Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u21/u11_al_u15 (
- .a({n29[13],n29[11]}),
- .b({n29[14],n29[12]}),
- .c(2'b00),
- .d({n31[13],n31[11]}),
- .e({n31[14],n31[12]}),
- .fci(\u21/c11 ),
- .f({n65[13],open_n4481}),
- .fco(\u21/c15 ),
- .fx({n65[14],open_n4482}));
- EG_PHY_LSLICE #(
- //.MACRO("u21/ucin_al_u12"),
- //.R_POSITION("X0Y2Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u21/u15_al_u16 (
- .a({n29[17],n29[15]}),
- .b({n29[18],n29[16]}),
- .c(2'b00),
- .d({n31[17],n31[15]}),
- .e({n31[18],n31[16]}),
- .fci(\u21/c15 ),
- .f({n65[17],n65[15]}),
- .fco(\u21/c19 ),
- .fx({n65[18],n65[16]}));
- EG_PHY_LSLICE #(
- //.MACRO("u21/ucin_al_u12"),
- //.R_POSITION("X0Y2Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u21/u19_al_u17 (
- .a({open_n4503,n29[19]}),
- .b({open_n4504,n29[20]}),
- .c(2'b00),
- .d({open_n4507,n31[19]}),
- .e({open_n4508,n31[20]}),
- .fci(\u21/c19 ),
- .f({n65[21],n65[19]}),
- .fx({open_n4524,n65[20]}));
- EG_PHY_LSLICE #(
- //.MACRO("u21/ucin_al_u12"),
- //.R_POSITION("X0Y0Z1"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u21/u3_al_u13 (
- .a({n29[5],n29[3]}),
- .b({n29[6],n29[4]}),
- .c(2'b00),
- .d({n31[5],n31[3]}),
- .e({n31[6],n31[4]}),
- .fci(\u21/c3 ),
- .fco(\u21/c7 ));
- EG_PHY_LSLICE #(
- //.MACRO("u21/ucin_al_u12"),
- //.R_POSITION("X0Y1Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'hA55A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u21/u7_al_u14 (
- .a({n29[9],n29[7]}),
- .b({n29[10],n29[8]}),
- .c(2'b00),
- .d({n31[9],n31[7]}),
- .e({n31[10],n31[8]}),
- .fci(\u21/c7 ),
- .fco(\u21/c11 ));
- EG_PHY_LSLICE #(
- //.MACRO("u21/ucin_al_u12"),
- //.R_POSITION("X0Y0Z0"),
- .DEMUX0("E"),
- .DEMUX1("E"),
- .INIT_LUTF0(16'h000A),
- .INIT_LUTF1(16'hA55A),
- .INIT_LUTG0(16'hC33C),
- .INIT_LUTG1(16'hC33C),
- .LSFMUX0("SUM"),
- .LSFMUX1("SUM"),
- .LSFXMUX0("SUM"),
- .LSFXMUX1("SUM"),
- .MODE("RIPPLE"))
- \u21/ucin_al_u12 (
- .a({n29[1],1'b0}),
- .b({n29[2],n29[0]}),
- .c(2'b00),
- .d({n31[1],1'b1}),
- .e({n31[2],n31[0]}),
- .fco(\u21/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("u3/u0|u3/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u3/u0|u3/ucin (
- .a({n18[3],1'b0}),
- .b({n21[3],open_n4594}),
- .f({n3[0],open_n4614}),
- .fco(\u3/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("u3/u0|u3/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u3/u10|u3/u9 (
- .a(n18[13:12]),
- .b(n21[13:12]),
- .fci(\u3/c9 ),
- .f(n3[10:9]),
- .fco(\u3/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("u3/u0|u3/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u3/u12|u3/u11 (
- .a(n18[15:14]),
- .b(n21[15:14]),
- .fci(\u3/c11 ),
- .f(n3[12:11]),
- .fco(\u3/c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("u3/u0|u3/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u3/u2|u3/u1 (
- .a(n18[5:4]),
- .b(n21[5:4]),
- .fci(\u3/c1 ),
- .f(n3[2:1]),
- .fco(\u3/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("u3/u0|u3/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u3/u4|u3/u3 (
- .a(n18[7:6]),
- .b(n21[7:6]),
- .fci(\u3/c3 ),
- .f(n3[4:3]),
- .fco(\u3/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("u3/u0|u3/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u3/u6|u3/u5 (
- .a(n18[9:8]),
- .b(n21[9:8]),
- .fci(\u3/c5 ),
- .f(n3[6:5]),
- .fco(\u3/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("u3/u0|u3/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u3/u8|u3/u7 (
- .a(n18[11:10]),
- .b(n21[11:10]),
- .fci(\u3/c7 ),
- .f(n3[8:7]),
- .fco(\u3/c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("u3/u0|u3/ucin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u3/ucout_al_u70 (
- .fci(\u3/c13 ),
- .f({open_n4773,n3[13]}));
- EG_PHY_MSLICE #(
- //.MACRO("u4/u0|u4/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u4/u0|u4/ucin (
- .a({n17[1],1'b0}),
- .b({n64[1],open_n4779}),
- .f({n5[0],open_n4799}),
- .fco(\u4/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("u4/u0|u4/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u4/u10|u4/u9 (
- .a(n60[11:10]),
- .b(n62[11:10]),
- .fci(\u4/c9 ),
- .f(n5[10:9]),
- .fco(\u4/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("u4/u0|u4/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u4/u12|u4/u11 (
- .a(n60[13:12]),
- .b(n62[13:12]),
- .fci(\u4/c11 ),
- .f(n5[12:11]),
- .fco(\u4/c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("u4/u0|u4/ucin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u4/u14|u4/u13 (
- .a(n60[15:14]),
- .b(n62[15:14]),
- .fci(\u4/c13 ),
- .f(n5[14:13]),
- .fco(\u4/c15 ));
- EG_PHY_MSLICE #(
- //.MACRO("u4/u0|u4/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u4/u2|u4/u1 (
- .a({n60[3],n17[2]}),
- .b({n62[3],n64[2]}),
- .fci(\u4/c1 ),
- .f(n5[2:1]),
- .fco(\u4/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("u4/u0|u4/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u4/u4|u4/u3 (
- .a(n60[5:4]),
- .b(n62[5:4]),
- .fci(\u4/c3 ),
- .f(n5[4:3]),
- .fco(\u4/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("u4/u0|u4/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u4/u6|u4/u5 (
- .a(n60[7:6]),
- .b(n62[7:6]),
- .fci(\u4/c5 ),
- .f(n5[6:5]),
- .fco(\u4/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("u4/u0|u4/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u4/u8|u4/u7 (
- .a(n60[9:8]),
- .b(n62[9:8]),
- .fci(\u4/c7 ),
- .f(n5[8:7]),
- .fco(\u4/c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("u4/u0|u4/ucin"),
- //.R_POSITION("X0Y4Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u4/ucout_al_u71 (
- .fci(\u4/c15 ),
- .f({open_n4980,n5[15]}));
- EG_PHY_MSLICE #(
- //.MACRO("u5/u0|u5/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u5/u0|u5/ucin (
- .a({n18[1],1'b0}),
- .b({n21[1],open_n4986}),
- .f({n6[0],open_n5006}),
- .fco(\u5/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("u5/u0|u5/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u5/u10|u5/u9 (
- .a(n24[11:10]),
- .b(n27[11:10]),
- .fci(\u5/c9 ),
- .f(n6[10:9]),
- .fco(\u5/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("u5/u0|u5/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u5/u12|u5/u11 (
- .a(n24[13:12]),
- .b(n27[13:12]),
- .fci(\u5/c11 ),
- .f(n6[12:11]),
- .fco(\u5/c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("u5/u0|u5/ucin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u5/u14|u5/u13 (
- .a(n24[15:14]),
- .b(n27[15:14]),
- .fci(\u5/c13 ),
- .f(n6[14:13]),
- .fco(\u5/c15 ));
- EG_PHY_MSLICE #(
- //.MACRO("u5/u0|u5/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u5/u2|u5/u1 (
- .a({n24[3],n18[2]}),
- .b({n27[3],n21[2]}),
- .fci(\u5/c1 ),
- .f(n6[2:1]),
- .fco(\u5/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("u5/u0|u5/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u5/u4|u5/u3 (
- .a(n24[5:4]),
- .b(n27[5:4]),
- .fci(\u5/c3 ),
- .f(n6[4:3]),
- .fco(\u5/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("u5/u0|u5/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u5/u6|u5/u5 (
- .a(n24[7:6]),
- .b(n27[7:6]),
- .fci(\u5/c5 ),
- .f(n6[6:5]),
- .fco(\u5/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("u5/u0|u5/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u5/u8|u5/u7 (
- .a(n24[9:8]),
- .b(n27[9:8]),
- .fci(\u5/c7 ),
- .f(n6[8:7]),
- .fco(\u5/c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("u5/u0|u5/ucin"),
- //.R_POSITION("X0Y4Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u5/ucout|u5/u15 (
- .a({open_n5165,1'b0}),
- .b({open_n5166,n27[16]}),
- .fci(\u5/c15 ),
- .f(n6[16:15]));
- EG_PHY_MSLICE #(
- //.MACRO("u6/u0|u6/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u6/u0|u6/ucin (
- .a({n60[1],1'b0}),
- .b({n62[1],open_n5190}),
- .f({n7[0],open_n5210}),
- .fco(\u6/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("u6/u0|u6/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u6/u10|u6/u9 (
- .a(n56[11:10]),
- .b(n58[11:10]),
- .fci(\u6/c9 ),
- .f(n7[10:9]),
- .fco(\u6/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("u6/u0|u6/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u6/u12|u6/u11 (
- .a(n56[13:12]),
- .b(n58[13:12]),
- .fci(\u6/c11 ),
- .f(n7[12:11]),
- .fco(\u6/c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("u6/u0|u6/ucin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u6/u14|u6/u13 (
- .a(n56[15:14]),
- .b(n58[15:14]),
- .fci(\u6/c13 ),
- .f(n7[14:13]),
- .fco(\u6/c15 ));
- EG_PHY_MSLICE #(
- //.MACRO("u6/u0|u6/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u6/u2|u6/u1 (
- .a({n56[3],n60[2]}),
- .b({n58[3],n62[2]}),
- .fci(\u6/c1 ),
- .f(n7[2:1]),
- .fco(\u6/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("u6/u0|u6/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u6/u4|u6/u3 (
- .a(n56[5:4]),
- .b(n58[5:4]),
- .fci(\u6/c3 ),
- .f(n7[4:3]),
- .fco(\u6/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("u6/u0|u6/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u6/u6|u6/u5 (
- .a(n56[7:6]),
- .b(n58[7:6]),
- .fci(\u6/c5 ),
- .f(n7[6:5]),
- .fco(\u6/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("u6/u0|u6/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u6/u8|u6/u7 (
- .a(n56[9:8]),
- .b(n58[9:8]),
- .fci(\u6/c7 ),
- .f(n7[8:7]),
- .fco(\u6/c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("u6/u0|u6/ucin"),
- //.R_POSITION("X0Y4Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u6/ucout|u6/u15 (
- .a({open_n5369,n56[16]}),
- .b({open_n5370,n58[16]}),
- .fci(\u6/c15 ),
- .f(n7[16:15]));
- EG_PHY_MSLICE #(
- //.MACRO("u7/u0|u7/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u7/u0|u7/ucin (
- .a({n24[1],1'b0}),
- .b({n27[1],open_n5394}),
- .f({n8[0],open_n5414}),
- .fco(\u7/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("u7/u0|u7/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u7/u10|u7/u9 (
- .a(n30[11:10]),
- .b(n33[11:10]),
- .fci(\u7/c9 ),
- .f(n8[10:9]),
- .fco(\u7/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("u7/u0|u7/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u7/u12|u7/u11 (
- .a(n30[13:12]),
- .b(n33[13:12]),
- .fci(\u7/c11 ),
- .f(n8[12:11]),
- .fco(\u7/c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("u7/u0|u7/ucin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u7/u14|u7/u13 (
- .a(n30[15:14]),
- .b(n33[15:14]),
- .fci(\u7/c13 ),
- .f(n8[14:13]),
- .fco(\u7/c15 ));
- EG_PHY_MSLICE #(
- //.MACRO("u7/u0|u7/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u7/u2|u7/u1 (
- .a({n30[3],n24[2]}),
- .b({n33[3],n27[2]}),
- .fci(\u7/c1 ),
- .f(n8[2:1]),
- .fco(\u7/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("u7/u0|u7/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u7/u4|u7/u3 (
- .a(n30[5:4]),
- .b(n33[5:4]),
- .fci(\u7/c3 ),
- .f(n8[4:3]),
- .fco(\u7/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("u7/u0|u7/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u7/u6|u7/u5 (
- .a(n30[7:6]),
- .b(n33[7:6]),
- .fci(\u7/c5 ),
- .f(n8[6:5]),
- .fco(\u7/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("u7/u0|u7/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u7/u8|u7/u7 (
- .a(n30[9:8]),
- .b(n33[9:8]),
- .fci(\u7/c7 ),
- .f(n8[8:7]),
- .fco(\u7/c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("u7/u0|u7/ucin"),
- //.R_POSITION("X0Y4Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u7/ucout|u7/u15 (
- .a({open_n5573,n30[16]}),
- .b({open_n5574,n33[16]}),
- .fci(\u7/c15 ),
- .f(n8[16:15]));
- EG_PHY_MSLICE #(
- //.MACRO("u8/u0|u8/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u8/u0|u8/ucin (
- .a({n56[1],1'b0}),
- .b({n58[1],open_n5598}),
- .f({n9[0],open_n5618}),
- .fco(\u8/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("u8/u0|u8/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u8/u10|u8/u9 (
- .a(n36[11:10]),
- .b(n39[11:10]),
- .fci(\u8/c9 ),
- .f(n9[10:9]),
- .fco(\u8/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("u8/u0|u8/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u8/u12|u8/u11 (
- .a(n36[13:12]),
- .b(n39[13:12]),
- .fci(\u8/c11 ),
- .f(n9[12:11]),
- .fco(\u8/c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("u8/u0|u8/ucin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u8/u14|u8/u13 (
- .a(n36[15:14]),
- .b(n39[15:14]),
- .fci(\u8/c13 ),
- .f(n9[14:13]),
- .fco(\u8/c15 ));
- EG_PHY_MSLICE #(
- //.MACRO("u8/u0|u8/ucin"),
- //.R_POSITION("X0Y4Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u8/u16|u8/u15 (
- .a({1'b0,n36[16]}),
- .b(n39[17:16]),
- .fci(\u8/c15 ),
- .f(n9[16:15]),
- .fco(\u8/c17 ));
- EG_PHY_MSLICE #(
- //.MACRO("u8/u0|u8/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u8/u2|u8/u1 (
- .a({n36[3],n56[2]}),
- .b({n39[3],n58[2]}),
- .fci(\u8/c1 ),
- .f(n9[2:1]),
- .fco(\u8/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("u8/u0|u8/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u8/u4|u8/u3 (
- .a(n36[5:4]),
- .b(n39[5:4]),
- .fci(\u8/c3 ),
- .f(n9[4:3]),
- .fco(\u8/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("u8/u0|u8/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u8/u6|u8/u5 (
- .a(n36[7:6]),
- .b(n39[7:6]),
- .fci(\u8/c5 ),
- .f(n9[6:5]),
- .fco(\u8/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("u8/u0|u8/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u8/u8|u8/u7 (
- .a(n36[9:8]),
- .b(n39[9:8]),
- .fci(\u8/c7 ),
- .f(n9[8:7]),
- .fco(\u8/c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("u8/u0|u8/ucin"),
- //.R_POSITION("X0Y4Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u8/ucout_al_u72 (
- .fci(\u8/c17 ),
- .f({open_n5821,n9[17]}));
- EG_PHY_MSLICE #(
- //.MACRO("u9/u0|u9/ucin"),
- //.R_POSITION("X0Y0Z0"),
- .ALUTYPE("ADD_CARRY"),
- .INIT_LUT0(16'b0000000000001010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u9/u0|u9/ucin (
- .a({n33[1],1'b0}),
- .b({n36[1],open_n5827}),
- .f({n10[0],open_n5847}),
- .fco(\u9/c1 ));
- EG_PHY_MSLICE #(
- //.MACRO("u9/u0|u9/ucin"),
- //.R_POSITION("X0Y2Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u9/u10|u9/u9 (
- .a(n42[11:10]),
- .b(n46[11:10]),
- .fci(\u9/c9 ),
- .f(n10[10:9]),
- .fco(\u9/c11 ));
- EG_PHY_MSLICE #(
- //.MACRO("u9/u0|u9/ucin"),
- //.R_POSITION("X0Y3Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u9/u12|u9/u11 (
- .a(n42[13:12]),
- .b(n46[13:12]),
- .fci(\u9/c11 ),
- .f(n10[12:11]),
- .fco(\u9/c13 ));
- EG_PHY_MSLICE #(
- //.MACRO("u9/u0|u9/ucin"),
- //.R_POSITION("X0Y3Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u9/u14|u9/u13 (
- .a(n42[15:14]),
- .b(n46[15:14]),
- .fci(\u9/c13 ),
- .f(n10[14:13]),
- .fco(\u9/c15 ));
- EG_PHY_MSLICE #(
- //.MACRO("u9/u0|u9/ucin"),
- //.R_POSITION("X0Y4Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u9/u16|u9/u15 (
- .a(n42[17:16]),
- .b(n46[17:16]),
- .fci(\u9/c15 ),
- .f(n10[16:15]),
- .fco(\u9/c17 ));
- EG_PHY_MSLICE #(
- //.MACRO("u9/u0|u9/ucin"),
- //.R_POSITION("X0Y0Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u9/u2|u9/u1 (
- .a({n42[3],n33[2]}),
- .b({n46[3],n36[2]}),
- .fci(\u9/c1 ),
- .f(n10[2:1]),
- .fco(\u9/c3 ));
- EG_PHY_MSLICE #(
- //.MACRO("u9/u0|u9/ucin"),
- //.R_POSITION("X0Y1Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u9/u4|u9/u3 (
- .a(n42[5:4]),
- .b(n46[5:4]),
- .fci(\u9/c3 ),
- .f(n10[4:3]),
- .fco(\u9/c5 ));
- EG_PHY_MSLICE #(
- //.MACRO("u9/u0|u9/ucin"),
- //.R_POSITION("X0Y1Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u9/u6|u9/u5 (
- .a(n42[7:6]),
- .b(n46[7:6]),
- .fci(\u9/c5 ),
- .f(n10[6:5]),
- .fco(\u9/c7 ));
- EG_PHY_MSLICE #(
- //.MACRO("u9/u0|u9/ucin"),
- //.R_POSITION("X0Y2Z0"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u9/u8|u9/u7 (
- .a(n42[9:8]),
- .b(n46[9:8]),
- .fci(\u9/c7 ),
- .f(n10[8:7]),
- .fco(\u9/c9 ));
- EG_PHY_MSLICE #(
- //.MACRO("u9/u0|u9/ucin"),
- //.R_POSITION("X0Y4Z1"),
- .ALUTYPE("ADD"),
- .INIT_LUT0(16'b0110011001101010),
- .INIT_LUT1(16'b0110011001101010),
- .MODE("RIPPLE"))
- \u9/ucout_al_u73 (
- .fci(\u9/c17 ),
- .f({open_n6050,n10[17]}));
-
-endmodule
-
+// Verilog netlist created by TD v5.0.43066
+// Sat May 6 23:25:26 2023
+
+`timescale 1ns / 1ps
+module CortexM0_SoC // ../rtl/topmodule/CortexM0_SoC.v(2)
+ (
+ RSTn,
+ RXD,
+ SWCLK,
+ clk,
+ col,
+ LED,
+ MSI_CS,
+ MSI_REFCLK,
+ MSI_SCLK,
+ MSI_SDATA,
+ TXD,
+ audio_pwm,
+ row,
+ seg,
+ sel,
+ SWDIO
+ );
+
+ input RSTn; // ../rtl/topmodule/CortexM0_SoC.v(8)
+ input RXD; // ../rtl/topmodule/CortexM0_SoC.v(13)
+ input SWCLK; // ../rtl/topmodule/CortexM0_SoC.v(10)
+ input clk; // ../rtl/topmodule/CortexM0_SoC.v(7)
+ input [3:0] col; // ../rtl/topmodule/CortexM0_SoC.v(21)
+ output [7:0] LED; // ../rtl/topmodule/CortexM0_SoC.v(11)
+ output MSI_CS; // ../rtl/topmodule/CortexM0_SoC.v(16)
+ output MSI_REFCLK; // ../rtl/topmodule/CortexM0_SoC.v(14)
+ output MSI_SCLK; // ../rtl/topmodule/CortexM0_SoC.v(17)
+ output MSI_SDATA; // ../rtl/topmodule/CortexM0_SoC.v(15)
+ output TXD; // ../rtl/topmodule/CortexM0_SoC.v(12)
+ output audio_pwm; // ../rtl/topmodule/CortexM0_SoC.v(18)
+ output [3:0] row; // ../rtl/topmodule/CortexM0_SoC.v(22)
+ output [7:0] seg; // ../rtl/topmodule/CortexM0_SoC.v(20)
+ output [3:0] sel; // ../rtl/topmodule/CortexM0_SoC.v(19)
+ inout SWDIO; // ../rtl/topmodule/CortexM0_SoC.v(9)
+
+ parameter ADDR_WIDTH = 12;
+ parameter FM_ADDR_WIDTH = 13;
+ wire [3:0] \FMDATA_Interface/size_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(49)
+ wire [31:0] FMDATA_RDATA; // ../rtl/topmodule/CortexM0_SoC.v(461)
+ wire [12:0] FMDATA_WADDR; // ../rtl/topmodule/CortexM0_SoC.v(463)
+ wire [3:0] FMDATA_WRITE; // ../rtl/topmodule/CortexM0_SoC.v(465)
+ wire [3:0] FM_HW_state; // ../rtl/topmodule/CortexM0_SoC.v(578)
+ wire [31:0] HADDR; // ../rtl/topmodule/CortexM0_SoC.v(64)
+ wire [31:0] HRDATA; // ../rtl/topmodule/CortexM0_SoC.v(72)
+ wire [2:0] HSIZE; // ../rtl/topmodule/CortexM0_SoC.v(68)
+ wire [1:0] HTRANS; // ../rtl/topmodule/CortexM0_SoC.v(69)
+ wire [31:0] HWDATA; // ../rtl/topmodule/CortexM0_SoC.v(70)
+ wire [5:0] \Interconncet/SlaveMUX/hsel_reg ; // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(50)
+ wire [7:0] LED_pad; // ../rtl/topmodule/CortexM0_SoC.v(11)
+ wire [3:0] \RAMCODE_Interface/size_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(49)
+ wire [31:0] RAMCODE_RDATA; // ../rtl/topmodule/CortexM0_SoC.v(369)
+ wire [11:0] RAMCODE_WADDR; // ../rtl/topmodule/CortexM0_SoC.v(370)
+ wire [3:0] RAMCODE_WRITE; // ../rtl/topmodule/CortexM0_SoC.v(372)
+ wire [3:0] \RAMDATA_Interface/size_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(49)
+ wire [31:0] RAMDATA_RDATA; // ../rtl/topmodule/CortexM0_SoC.v(428)
+ wire [11:0] RAMDATA_WADDR; // ../rtl/topmodule/CortexM0_SoC.v(430)
+ wire [3:0] RAMDATA_WRITE; // ../rtl/topmodule/CortexM0_SoC.v(432)
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di ;
+ wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ;
+ wire [4:0] \SPI_TX/FIFO_SPI/n17 ;
+ wire [5:0] \SPI_TX/FIFO_SPI/n18 ;
+ wire [4:0] \SPI_TX/FIFO_SPI/n5 ;
+ wire [5:0] \SPI_TX/FIFO_SPI/n6 ;
+ wire [4:0] \SPI_TX/FIFO_SPI/rp ; // ../rtl/peripherals/FIFO_SPI.v(19)
+ wire [4:0] \SPI_TX/FIFO_SPI/wp ; // ../rtl/peripherals/FIFO_SPI.v(19)
+ wire [24:0] \SPI_TX/FIFOdata ; // ../rtl/peripherals/SPI_TX.v(16)
+ wire [13:0] \SPI_TX/counter ; // ../rtl/peripherals/SPI_TX.v(42)
+ wire [13:0] \SPI_TX/n31 ;
+ wire [13:0] \SPI_TX/n33 ;
+ wire \SPI_TX/sel1/B11 ; // ../rtl/peripherals/SPI_TX.v(78)
+ wire \SPI_TX/sel1/B16 ; // ../rtl/peripherals/SPI_TX.v(78)
+ wire \SPI_TX/sel1/B19 ; // ../rtl/peripherals/SPI_TX.v(78)
+ wire \SPI_TX/sel1/B7 ; // ../rtl/peripherals/SPI_TX.v(78)
+ wire \SPI_TX/sel1/B9 ; // ../rtl/peripherals/SPI_TX.v(78)
+ wire [23:0] SPI_TX_Data; // ../rtl/topmodule/CortexM0_SoC.v(524)
+ wire [3:0] \UART_Interface/addr_reg ; // ../rtl/AHBsubordinate/AHBlite_UART.v(30)
+ wire [3:0] \UART_RX/counter ; // ../rtl/peripherals/UART_RX.v(23)
+ wire [3:0] \UART_RX/n9 ;
+ wire [7:0] \UART_RX/shift_reg ; // ../rtl/peripherals/UART_RX.v(12)
+ wire [7:0] UART_RX_data; // ../rtl/topmodule/CortexM0_SoC.v(496)
+ wire [3:0] \UART_TX/FIFO/al_ram_mem_c0_di ;
+ wire [3:0] \UART_TX/FIFO/al_ram_mem_c0_waddr ;
+ wire [3:0] \UART_TX/FIFO/al_ram_mem_c1_di ;
+ wire [3:0] \UART_TX/FIFO/al_ram_mem_c1_waddr ;
+ wire [3:0] \UART_TX/FIFO/n17 ;
+ wire [4:0] \UART_TX/FIFO/n18 ;
+ wire [3:0] \UART_TX/FIFO/n5 ;
+ wire [4:0] \UART_TX/FIFO/n6 ;
+ wire [3:0] \UART_TX/FIFO/rp ; // ../rtl/peripherals/FIFO.v(17)
+ wire [3:0] \UART_TX/FIFO/wp ; // ../rtl/peripherals/FIFO.v(17)
+ wire [7:0] \UART_TX/FIFOdata ; // ../rtl/peripherals/UART_TX.v(15)
+ wire [3:0] \UART_TX/counter ; // ../rtl/peripherals/UART_TX.v(36)
+ wire [3:0] \UART_TX/n8 ;
+ wire [7:0] UART_TX_data; // ../rtl/topmodule/CortexM0_SoC.v(497)
+ wire [12:0] \clkuart_pwm/cnt ; // ../rtl/peripherals/clkuart_pwm.v(11)
+ wire [12:0] \clkuart_pwm/n4 ;
+ wire [12:0] \clkuart_pwm/n5 ;
+ wire [3:0] col_pad; // ../rtl/topmodule/CortexM0_SoC.v(21)
+ wire [19:0] \filter_unit/cnt ; // ../rtl/peripherals/KeyScan.v(35)
+ wire [15:0] \filter_unit/key_reg0 ; // ../rtl/peripherals/KeyScan.v(46)
+ wire [15:0] \filter_unit/key_reg1 ; // ../rtl/peripherals/KeyScan.v(47)
+ wire [19:0] \filter_unit/n0 ;
+ wire [19:0] \filter_unit/n1 ;
+ wire [15:0] \filter_unit/n9 ;
+ wire [15:0] key_in; // ../rtl/topmodule/CortexM0_SoC.v(48)
+ wire [15:0] key_interrupt; // ../rtl/topmodule/CortexM0_SoC.v(47)
+ wire [15:0] \pulse_gen_unit/key_reg_1 ; // ../rtl/peripherals/KeyScan.v(107)
+ wire [15:0] \pulse_gen_unit/key_reg_2 ; // ../rtl/peripherals/KeyScan.v(108)
+ wire [3:0] row_pad; // ../rtl/topmodule/CortexM0_SoC.v(22)
+ wire [31:0] \scan_unit/cnt ; // ../rtl/peripherals/KeyScan.v(6)
+ wire [15:0] \scan_unit/n13 ;
+ wire [31:0] \scan_unit/n2 ;
+ wire CDBGPWRUPACK; // ../rtl/topmodule/CortexM0_SoC.v(91)
+ wire CDBGPWRUPREQ; // ../rtl/topmodule/CortexM0_SoC.v(90)
+ wire CW_CLK_MSI; // ../rtl/topmodule/CortexM0_SoC.v(665)
+ wire \FMDATA_Interface/n10 ;
+ wire \FMDATA_Interface/n15 ;
+ wire \FMDATA_Interface/sel0_b0_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
+ wire \FMDATA_Interface/sel0_b1_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
+ wire \FMDATA_Interface/sel0_b2_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
+ wire \FMDATA_Interface/sel0_b3_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
+ wire \FMDATA_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(63)
+ wire HSEL_P0; // ../rtl/topmodule/CortexM0_SoC.v(170)
+ wire HSEL_P1; // ../rtl/topmodule/CortexM0_SoC.v(184)
+ wire HSEL_P2; // ../rtl/topmodule/CortexM0_SoC.v(198)
+ wire HSEL_P3; // ../rtl/topmodule/CortexM0_SoC.v(212)
+ wire HSEL_P4; // ../rtl/topmodule/CortexM0_SoC.v(226)
+ wire HSEL_P5; // ../rtl/topmodule/CortexM0_SoC.v(240)
+ wire HWRITE; // ../rtl/topmodule/CortexM0_SoC.v(71)
+ wire \Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ; // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(65)
+ wire MSI_CS_pad; // ../rtl/topmodule/CortexM0_SoC.v(16)
+ wire MSI_REFCLK_pad; // ../rtl/topmodule/CortexM0_SoC.v(14)
+ wire \MSI_REF_CLK/clk0_buf ; // al_ip/RF_REF_24M.v(37)
+ wire MSI_SCLK_pad; // ../rtl/topmodule/CortexM0_SoC.v(17)
+ wire MSI_SDATA_pad; // ../rtl/topmodule/CortexM0_SoC.v(15)
+ wire \RAMCODE_Interface/n10 ;
+ wire \RAMCODE_Interface/n15 ;
+ wire \RAMCODE_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(63)
+ wire \RAMDATA_Interface/n10 ;
+ wire \RAMDATA_Interface/n15 ;
+ wire \RAMDATA_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(63)
+ wire RSSI_interrupt; // ../rtl/topmodule/CortexM0_SoC.v(46)
+ wire RSTn_pad; // ../rtl/topmodule/CortexM0_SoC.v(8)
+ wire RXD_pad; // ../rtl/topmodule/CortexM0_SoC.v(13)
+ wire \SPI_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_SPI.v(41)
+ wire \SPI_Interface/write_en ; // ../rtl/AHBsubordinate/AHBlite_SPI.v(25)
+ wire \SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ; // ../rtl/peripherals/FIFO_SPI.v(36)
+ wire \SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ; // ../rtl/peripherals/FIFO_SPI.v(52)
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_000 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_001 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_002 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_003 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_004 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_005 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_006 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_007 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_008 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_009 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_010 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_011 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_012 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_013 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_014 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_015 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_016 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_017 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_018 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_019 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_020 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_021 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_022 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_023 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_024 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_000 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_001 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_002 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_003 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_004 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_005 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_006 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_007 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_008 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_009 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_010 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_011 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_012 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_013 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_014 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_015 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_016 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_017 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_018 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_019 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_020 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_021 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_022 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_023 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_024 ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ;
+ wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ;
+ wire \SPI_TX/FIFO_SPI/n19 ;
+ wire \SPI_TX/FIFO_SPI/n25_lutinv ;
+ wire \SPI_TX/FIFO_SPI/n2_0 ;
+ wire \SPI_TX/FIFO_SPI/n2_1 ;
+ wire \SPI_TX/FIFO_SPI/n7 ;
+ wire \SPI_TX/FIFO_SPI/r_flag ; // ../rtl/peripherals/FIFO_SPI.v(20)
+ wire \SPI_TX/FIFO_SPI/u13_sel_is_3_o ;
+ wire \SPI_TX/FIFO_SPI/u7_sel_is_3_o ;
+ wire \SPI_TX/FIFO_SPI/w_flag ; // ../rtl/peripherals/FIFO_SPI.v(20)
+ wire \SPI_TX/FIFOrd_en ; // ../rtl/peripherals/SPI_TX.v(14)
+ wire \SPI_TX/FIFOwr_en ; // ../rtl/peripherals/SPI_TX.v(15)
+ wire \SPI_TX/MSI_clk_en ; // ../rtl/peripherals/SPI_TX.v(67)
+ wire \SPI_TX/add0/c1 ; // ../rtl/peripherals/SPI_TX.v(61)
+ wire \SPI_TX/add0/c11 ; // ../rtl/peripherals/SPI_TX.v(61)
+ wire \SPI_TX/add0/c13 ; // ../rtl/peripherals/SPI_TX.v(61)
+ wire \SPI_TX/add0/c3 ; // ../rtl/peripherals/SPI_TX.v(61)
+ wire \SPI_TX/add0/c5 ; // ../rtl/peripherals/SPI_TX.v(61)
+ wire \SPI_TX/add0/c7 ; // ../rtl/peripherals/SPI_TX.v(61)
+ wire \SPI_TX/add0/c9 ; // ../rtl/peripherals/SPI_TX.v(61)
+ wire \SPI_TX/count_en ; // ../rtl/peripherals/SPI_TX.v(38)
+ wire \SPI_TX/n104 ;
+ wire \SPI_TX/n106 ;
+ wire \SPI_TX/n109 ;
+ wire \SPI_TX/n24 ;
+ wire \SPI_TX/n77_lutinv ;
+ wire \SPI_TX/trans_finish_lutinv ; // ../rtl/peripherals/SPI_TX.v(44)
+ wire SWDIO_pad; // ../rtl/topmodule/CortexM0_SoC.v(9)
+ wire SWDO; // ../rtl/topmodule/CortexM0_SoC.v(31)
+ wire SWDOEN; // ../rtl/topmodule/CortexM0_SoC.v(32)
+ wire SYSRESETREQ; // ../rtl/topmodule/CortexM0_SoC.v(81)
+ wire TXD_pad; // ../rtl/topmodule/CortexM0_SoC.v(12)
+ wire \UART_Interface/n5 ;
+ wire \UART_Interface/rd_en_reg ; // ../rtl/AHBsubordinate/AHBlite_UART.v(36)
+ wire \UART_Interface/read_en ; // ../rtl/AHBsubordinate/AHBlite_UART.v(24)
+ wire \UART_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_UART.v(43)
+ wire \UART_Interface/write_en ; // ../rtl/AHBsubordinate/AHBlite_UART.v(27)
+ wire \UART_RX/mux5_b0_sel_is_3_o ;
+ wire \UART_RX/mux5_b1_sel_is_3_o ;
+ wire \UART_RX/mux5_b2_sel_is_3_o ;
+ wire \UART_RX/mux5_b3_sel_is_3_o ;
+ wire \UART_RX/mux5_b4_sel_is_3_o ;
+ wire \UART_RX/mux5_b5_sel_is_3_o ;
+ wire \UART_RX/mux5_b6_sel_is_3_o ;
+ wire \UART_RX/mux5_b7_sel_is_3_o ;
+ wire \UART_RX/n6 ;
+ wire \UART_TX/FIFO/al_ram_mem_c0_mode ;
+ wire \UART_TX/FIFO/al_ram_mem_c0_wclk ;
+ wire \UART_TX/FIFO/al_ram_mem_c0_we ;
+ wire \UART_TX/FIFO/al_ram_mem_c1_mode ;
+ wire \UART_TX/FIFO/al_ram_mem_c1_wclk ;
+ wire \UART_TX/FIFO/al_ram_mem_c1_we ;
+ wire \UART_TX/FIFO/n19 ;
+ wire \UART_TX/FIFO/n25_lutinv ;
+ wire \UART_TX/FIFO/n7 ;
+ wire \UART_TX/FIFO/r_flag ; // ../rtl/peripherals/FIFO.v(18)
+ wire \UART_TX/FIFO/u13_sel_is_3_o ;
+ wire \UART_TX/FIFO/u7_sel_is_3_o ;
+ wire \UART_TX/FIFO/w_flag ; // ../rtl/peripherals/FIFO.v(18)
+ wire \UART_TX/FIFOrd_en ; // ../rtl/peripherals/UART_TX.v(13)
+ wire \UART_TX/FIFOwr_en ; // ../rtl/peripherals/UART_TX.v(14)
+ wire \UART_TX/n11 ;
+ wire \UART_TX/n14 ;
+ wire \UART_TX/n4 ;
+ wire \UART_TX/trans_finish_lutinv ; // ../rtl/peripherals/UART_TX.v(38)
+ wire _al_u159_o;
+ wire _al_u160_o;
+ wire _al_u165_o;
+ wire _al_u196_o;
+ wire _al_u197_o;
+ wire _al_u198_o;
+ wire _al_u199_o;
+ wire _al_u200_o;
+ wire _al_u201_o;
+ wire _al_u204_o;
+ wire _al_u207_o;
+ wire _al_u214_o;
+ wire _al_u215_o;
+ wire _al_u222_o;
+ wire _al_u228_o;
+ wire _al_u229_o;
+ wire _al_u230_o;
+ wire _al_u232_o;
+ wire _al_u234_o;
+ wire _al_u236_o;
+ wire _al_u238_o;
+ wire _al_u239_o;
+ wire _al_u241_o;
+ wire _al_u242_o;
+ wire _al_u244_o;
+ wire _al_u246_o;
+ wire _al_u247_o;
+ wire _al_u249_o;
+ wire _al_u251_o;
+ wire _al_u273_o;
+ wire _al_u276_o;
+ wire _al_u277_o;
+ wire _al_u278_o;
+ wire _al_u279_o;
+ wire _al_u280_o;
+ wire _al_u287_o;
+ wire _al_u288_o;
+ wire _al_u289_o;
+ wire _al_u291_o;
+ wire _al_u292_o;
+ wire _al_u293_o;
+ wire _al_u294_o;
+ wire _al_u295_o;
+ wire _al_u296_o;
+ wire _al_u298_o;
+ wire _al_u299_o;
+ wire _al_u300_o;
+ wire _al_u316_o;
+ wire _al_u317_o;
+ wire _al_u319_o;
+ wire _al_u323_o;
+ wire _al_u325_o;
+ wire _al_u329_o;
+ wire _al_u331_o;
+ wire _al_u333_o;
+ wire _al_u335_o;
+ wire _al_u336_o;
+ wire _al_u337_o;
+ wire _al_u338_o;
+ wire _al_u339_o;
+ wire _al_u341_o;
+ wire _al_u342_o;
+ wire _al_u343_o;
+ wire _al_u344_o;
+ wire _al_u345_o;
+ wire _al_u346_o;
+ wire _al_u347_o;
+ wire _al_u348_o;
+ wire _al_u349_o;
+ wire _al_u351_o;
+ wire _al_u354_o;
+ wire _al_u355_o;
+ wire _al_u357_o;
+ wire _al_u360_o;
+ wire _al_u361_o;
+ wire _al_u386_o;
+ wire _al_u387_o;
+ wire _al_u388_o;
+ wire _al_u389_o;
+ wire _al_u390_o;
+ wire _al_u391_o;
+ wire _al_u392_o;
+ wire _al_u396_o;
+ wire _al_u405_o;
+ wire _al_u409_o;
+ wire _al_u410_o;
+ wire _al_u411_o;
+ wire _al_u412_o;
+ wire _al_u413_o;
+ wire _al_u415_o;
+ wire _al_u416_o;
+ wire _al_u418_o;
+ wire _al_u419_o;
+ wire _al_u421_o;
+ wire _al_u422_o;
+ wire _al_u424_o;
+ wire _al_u425_o;
+ wire _al_u427_o;
+ wire _al_u428_o;
+ wire _al_u430_o;
+ wire _al_u431_o;
+ wire _al_u437_o;
+ wire _al_u438_o;
+ wire _al_u439_o;
+ wire _al_u440_o;
+ wire _al_u441_o;
+ wire _al_u442_o;
+ wire _al_u443_o;
+ wire _al_u444_o;
+ wire _al_u445_o;
+ wire _al_u446_o;
+ wire _al_u448_o;
+ wire _al_u449_o;
+ wire _al_u450_o;
+ wire _al_u452_o;
+ wire _al_u453_o;
+ wire _al_u454_o;
+ wire _al_u455_o;
+ wire _al_u456_o;
+ wire _al_u457_o;
+ wire _al_u458_o;
+ wire _al_u459_o;
+ wire _al_u460_o;
+ wire _al_u461_o;
+ wire _al_u462_o;
+ wire _al_u463_o;
+ wire _al_u464_o;
+ wire _al_u465_o;
+ wire _al_u466_o;
+ wire _al_u467_o;
+ wire _al_u468_o;
+ wire _al_u469_o;
+ wire _al_u470_o;
+ wire _al_u471_o;
+ wire _al_u472_o;
+ wire _al_u473_o;
+ wire _al_u474_o;
+ wire _al_u475_o;
+ wire _al_u476_o;
+ wire _al_u477_o;
+ wire _al_u478_o;
+ wire _al_u479_o;
+ wire _al_u480_o;
+ wire _al_u481_o;
+ wire _al_u482_o;
+ wire _al_u483_o;
+ wire _al_u484_o;
+ wire _al_u485_o;
+ wire _al_u486_o;
+ wire _al_u487_o;
+ wire _al_u488_o;
+ wire _al_u489_o;
+ wire _al_u491_o;
+ wire _al_u493_o;
+ wire _al_u499_o;
+ wire _al_u500_o;
+ wire _al_u503_o;
+ wire _al_u504_o;
+ wire audio_pwm_pad; // ../rtl/topmodule/CortexM0_SoC.v(18)
+ wire bps_en_rx; // ../rtl/topmodule/CortexM0_SoC.v(632)
+ wire bps_en_tx; // ../rtl/topmodule/CortexM0_SoC.v(632)
+ wire clk_pad; // ../rtl/topmodule/CortexM0_SoC.v(7)
+ wire clk_uart; // ../rtl/topmodule/CortexM0_SoC.v(630)
+ wire \clkuart_pwm/add0/c1 ; // ../rtl/peripherals/clkuart_pwm.v(16)
+ wire \clkuart_pwm/add0/c11 ; // ../rtl/peripherals/clkuart_pwm.v(16)
+ wire \clkuart_pwm/add0/c3 ; // ../rtl/peripherals/clkuart_pwm.v(16)
+ wire \clkuart_pwm/add0/c5 ; // ../rtl/peripherals/clkuart_pwm.v(16)
+ wire \clkuart_pwm/add0/c7 ; // ../rtl/peripherals/clkuart_pwm.v(16)
+ wire \clkuart_pwm/add0/c9 ; // ../rtl/peripherals/clkuart_pwm.v(16)
+ wire \clkuart_pwm/lt0_c1 ;
+ wire \clkuart_pwm/lt0_c11 ;
+ wire \clkuart_pwm/lt0_c13 ;
+ wire \clkuart_pwm/lt0_c3 ;
+ wire \clkuart_pwm/lt0_c5 ;
+ wire \clkuart_pwm/lt0_c7 ;
+ wire \clkuart_pwm/lt0_c9 ;
+ wire \clkuart_pwm/n1 ;
+ wire \clkuart_pwm/n6 ;
+ wire cpuresetn; // ../rtl/topmodule/CortexM0_SoC.v(82)
+ wire \filter_unit/add0/c11 ; // ../rtl/peripherals/KeyScan.v(43)
+ wire \filter_unit/add0/c15 ; // ../rtl/peripherals/KeyScan.v(43)
+ wire \filter_unit/add0/c19 ; // ../rtl/peripherals/KeyScan.v(43)
+ wire \filter_unit/add0/c3 ; // ../rtl/peripherals/KeyScan.v(43)
+ wire \filter_unit/add0/c7 ; // ../rtl/peripherals/KeyScan.v(43)
+ wire \filter_unit/n3 ;
+ wire interrupt_IQ_done; // ../rtl/topmodule/CortexM0_SoC.v(44)
+ wire interrupt_UART; // ../rtl/topmodule/CortexM0_SoC.v(43)
+ wire n1;
+ wire \scan_unit/add0/c11 ; // ../rtl/peripherals/KeyScan.v(14)
+ wire \scan_unit/add0/c15 ; // ../rtl/peripherals/KeyScan.v(14)
+ wire \scan_unit/add0/c19 ; // ../rtl/peripherals/KeyScan.v(14)
+ wire \scan_unit/add0/c23 ; // ../rtl/peripherals/KeyScan.v(14)
+ wire \scan_unit/add0/c27 ; // ../rtl/peripherals/KeyScan.v(14)
+ wire \scan_unit/add0/c3 ; // ../rtl/peripherals/KeyScan.v(14)
+ wire \scan_unit/add0/c31 ; // ../rtl/peripherals/KeyScan.v(14)
+ wire \scan_unit/add0/c7 ; // ../rtl/peripherals/KeyScan.v(14)
+ wire \scan_unit/n0 ;
+ wire \scan_unit/n1 ;
+ wire \scan_unit/scan_clk ; // ../rtl/peripherals/KeyScan.v(7)
+
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ CDBGPWRUPACK_reg (
+ .clk(clk_pad),
+ .d(CDBGPWRUPREQ),
+ .sr(RSTn_pad),
+ .q(CDBGPWRUPACK)); // ../rtl/topmodule/CortexM0_SoC.v(94)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg0_b0 (
+ .ce(\FMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[2]),
+ .sr(cpuresetn),
+ .q(FMDATA_WADDR[0])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg0_b1 (
+ .ce(\FMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[3]),
+ .sr(cpuresetn),
+ .q(FMDATA_WADDR[1])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg0_b10 (
+ .ce(\FMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[12]),
+ .sr(cpuresetn),
+ .q(FMDATA_WADDR[10])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg0_b11 (
+ .ce(\FMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[13]),
+ .sr(cpuresetn),
+ .q(FMDATA_WADDR[11])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg0_b12 (
+ .ce(\FMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[14]),
+ .sr(cpuresetn),
+ .q(FMDATA_WADDR[12])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg0_b2 (
+ .ce(\FMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[4]),
+ .sr(cpuresetn),
+ .q(FMDATA_WADDR[2])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg0_b3 (
+ .ce(\FMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[5]),
+ .sr(cpuresetn),
+ .q(FMDATA_WADDR[3])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg0_b4 (
+ .ce(\FMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[6]),
+ .sr(cpuresetn),
+ .q(FMDATA_WADDR[4])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg0_b5 (
+ .ce(\FMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[7]),
+ .sr(cpuresetn),
+ .q(FMDATA_WADDR[5])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg0_b6 (
+ .ce(\FMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[8]),
+ .sr(cpuresetn),
+ .q(FMDATA_WADDR[6])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg0_b7 (
+ .ce(\FMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[9]),
+ .sr(cpuresetn),
+ .q(FMDATA_WADDR[7])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg0_b8 (
+ .ce(\FMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[10]),
+ .sr(cpuresetn),
+ .q(FMDATA_WADDR[8])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg0_b9 (
+ .ce(\FMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[11]),
+ .sr(cpuresetn),
+ .q(FMDATA_WADDR[9])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg1_b0 (
+ .ce(\FMDATA_Interface/n10 ),
+ .clk(clk_pad),
+ .d(\FMDATA_Interface/sel0_b0_sel_o ),
+ .sr(cpuresetn),
+ .q(\FMDATA_Interface/size_reg [0])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg1_b1 (
+ .ce(\FMDATA_Interface/n10 ),
+ .clk(clk_pad),
+ .d(\FMDATA_Interface/sel0_b1_sel_o ),
+ .sr(cpuresetn),
+ .q(\FMDATA_Interface/size_reg [1])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg1_b2 (
+ .ce(\FMDATA_Interface/n10 ),
+ .clk(clk_pad),
+ .d(\FMDATA_Interface/sel0_b2_sel_o ),
+ .sr(cpuresetn),
+ .q(\FMDATA_Interface/size_reg [2])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/reg1_b3 (
+ .ce(\FMDATA_Interface/n10 ),
+ .clk(clk_pad),
+ .d(\FMDATA_Interface/sel0_b3_sel_o ),
+ .sr(cpuresetn),
+ .q(\FMDATA_Interface/size_reg [3])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FMDATA_Interface/wr_en_reg_reg (
+ .clk(clk_pad),
+ .d(\FMDATA_Interface/n10 ),
+ .sr(cpuresetn),
+ .q(\FMDATA_Interface/wr_en_reg )); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(66)
+ FM_Display FM_Display (
+ .FM_HW_state({FM_HW_state[3:1],1'b0}),
+ .RSTn(RSTn_pad),
+ .clk(clk_pad),
+ .rdaddr(13'b0000000000000),
+ .wdata(HWDATA),
+ .wea(FMDATA_WRITE),
+ .wraddr(FMDATA_WADDR),
+ .seg(seg),
+ .sel(sel)); // ../rtl/topmodule/CortexM0_SoC.v(598)
+ FM_HW FM_HW (
+ .ADC_start(1'b1),
+ .RSTn(RSTn_pad),
+ .clk(clk_pad),
+ .rdaddr(HADDR[14:2]),
+ .wdata(HWDATA),
+ .wea(FMDATA_WRITE),
+ .wraddr(FMDATA_WADDR),
+ .FM_HW_state({FM_HW_state[3:1],open_n3}),
+ .IQ_Write_Done_interrupt(interrupt_IQ_done),
+ .LED_Out(LED_pad),
+ .RSSI_interrupt(RSSI_interrupt),
+ .audio_pwm(audio_pwm_pad),
+ .rdata({open_n4,open_n5,open_n6,open_n7,open_n8,open_n9,open_n10,open_n11,open_n12,open_n13,open_n14,open_n15,open_n16,open_n17,open_n18,FMDATA_RDATA[16:0]})); // ../rtl/topmodule/CortexM0_SoC.v(580)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Interconncet/SlaveMUX/reg0_b0 (
+ .ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
+ .clk(clk_pad),
+ .d(HSEL_P5),
+ .sr(cpuresetn),
+ .q(\Interconncet/SlaveMUX/hsel_reg [0])); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Interconncet/SlaveMUX/reg0_b1 (
+ .ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
+ .clk(clk_pad),
+ .d(HSEL_P4),
+ .sr(cpuresetn),
+ .q(\Interconncet/SlaveMUX/hsel_reg [1])); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Interconncet/SlaveMUX/reg0_b2 (
+ .ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
+ .clk(clk_pad),
+ .d(HSEL_P3),
+ .sr(cpuresetn),
+ .q(\Interconncet/SlaveMUX/hsel_reg [2])); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Interconncet/SlaveMUX/reg0_b3 (
+ .ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
+ .clk(clk_pad),
+ .d(HSEL_P2),
+ .sr(cpuresetn),
+ .q(\Interconncet/SlaveMUX/hsel_reg [3])); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Interconncet/SlaveMUX/reg0_b4 (
+ .ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
+ .clk(clk_pad),
+ .d(HSEL_P1),
+ .sr(cpuresetn),
+ .q(\Interconncet/SlaveMUX/hsel_reg [4])); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Interconncet/SlaveMUX/reg0_b5 (
+ .ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
+ .clk(clk_pad),
+ .d(HSEL_P0),
+ .sr(cpuresetn),
+ .q(\Interconncet/SlaveMUX/hsel_reg [5])); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
+ EG_PHY_GCLK \MSI_REF_CLK/bufg_feedback (
+ .clki(\MSI_REF_CLK/clk0_buf ),
+ .clko(CW_CLK_MSI)); // al_ip/RF_REF_24M.v(39)
+ EG_PHY_PLL #(
+ .CLKC0_CPHASE(11),
+ .CLKC0_DIV(12),
+ .CLKC0_DIV2_ENABLE("DISABLE"),
+ .CLKC0_ENABLE("ENABLE"),
+ .CLKC0_FPHASE(0),
+ .CLKC1_CPHASE(1),
+ .CLKC1_DIV(1),
+ .CLKC1_DIV2_ENABLE("DISABLE"),
+ .CLKC1_ENABLE("DISABLE"),
+ .CLKC1_FPHASE(0),
+ .CLKC2_CPHASE(1),
+ .CLKC2_DIV(1),
+ .CLKC2_DIV2_ENABLE("DISABLE"),
+ .CLKC2_ENABLE("DISABLE"),
+ .CLKC2_FPHASE(0),
+ .CLKC3_CPHASE(49),
+ .CLKC3_DIV(50),
+ .CLKC3_DIV2_ENABLE("DISABLE"),
+ .CLKC3_ENABLE("ENABLE"),
+ .CLKC3_FPHASE(0),
+ .CLKC4_CPHASE(1),
+ .CLKC4_DIV(1),
+ .CLKC4_DIV2_ENABLE("DISABLE"),
+ .CLKC4_ENABLE("DISABLE"),
+ .CLKC4_FPHASE(0),
+ .DERIVE_PLL_CLOCKS("DISABLE"),
+ .DPHASE_SOURCE("DISABLE"),
+ .DYNCFG("DISABLE"),
+ .FBCLK_DIV(2),
+ .FEEDBK_MODE("NORMAL"),
+ .FEEDBK_PATH("CLKC0_EXT"),
+ .FIN("50.000"),
+ .FREQ_LOCK_ACCURACY(2),
+ .GEN_BASIC_CLOCK("DISABLE"),
+ .GMC_GAIN(4),
+ .GMC_TEST(14),
+ .ICP_CURRENT(13),
+ .IF_ESCLKSTSW("DISABLE"),
+ .INTFB_WAKE("DISABLE"),
+ .KVCO(4),
+ .LPF_CAPACITOR(1),
+ .LPF_RESISTOR(4),
+ .NORESET("DISABLE"),
+ .ODIV_MUXC0("DIV"),
+ .ODIV_MUXC1("DIV"),
+ .ODIV_MUXC2("DIV"),
+ .ODIV_MUXC3("DIV"),
+ .ODIV_MUXC4("DIV"),
+ .PLLC2RST_ENA("DISABLE"),
+ .PLLC34RST_ENA("DISABLE"),
+ .PLLMRST_ENA("DISABLE"),
+ .PLLRST_ENA("ENABLE"),
+ .PLL_LOCK_MODE(0),
+ .PREDIV_MUXC0("VCO"),
+ .PREDIV_MUXC1("VCO"),
+ .PREDIV_MUXC2("VCO"),
+ .PREDIV_MUXC3("VCO"),
+ .PREDIV_MUXC4("VCO"),
+ .REFCLK_DIV(1),
+ .REFCLK_SEL("INTERNAL"),
+ .STDBY_ENABLE("ENABLE"),
+ .STDBY_VCO_ENA("DISABLE"),
+ .SYNC_ENABLE("DISABLE"),
+ .VCO_NORESET("DISABLE"))
+ \MSI_REF_CLK/pll_inst (
+ .daddr(6'b000000),
+ .dclk(1'b0),
+ .dcs(1'b0),
+ .di(8'b00000000),
+ .dwe(1'b0),
+ .fbclk(CW_CLK_MSI),
+ .load_reg(1'b0),
+ .psclk(1'b0),
+ .psclksel(3'b000),
+ .psdown(1'b0),
+ .psstep(1'b0),
+ .refclk(clk_pad),
+ .reset(1'b0),
+ .stdby(1'b0),
+ .clkc({open_n19,MSI_REFCLK_pad,open_n20,open_n21,\MSI_REF_CLK/clk0_buf })); // al_ip/RF_REF_24M.v(66)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg0_b0 (
+ .ce(\RAMCODE_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[2]),
+ .sr(cpuresetn),
+ .q(RAMCODE_WADDR[0])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg0_b1 (
+ .ce(\RAMCODE_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[3]),
+ .sr(cpuresetn),
+ .q(RAMCODE_WADDR[1])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg0_b10 (
+ .ce(\RAMCODE_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[12]),
+ .sr(cpuresetn),
+ .q(RAMCODE_WADDR[10])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg0_b11 (
+ .ce(\RAMCODE_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[13]),
+ .sr(cpuresetn),
+ .q(RAMCODE_WADDR[11])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg0_b2 (
+ .ce(\RAMCODE_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[4]),
+ .sr(cpuresetn),
+ .q(RAMCODE_WADDR[2])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg0_b3 (
+ .ce(\RAMCODE_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[5]),
+ .sr(cpuresetn),
+ .q(RAMCODE_WADDR[3])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg0_b4 (
+ .ce(\RAMCODE_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[6]),
+ .sr(cpuresetn),
+ .q(RAMCODE_WADDR[4])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg0_b5 (
+ .ce(\RAMCODE_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[7]),
+ .sr(cpuresetn),
+ .q(RAMCODE_WADDR[5])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg0_b6 (
+ .ce(\RAMCODE_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[8]),
+ .sr(cpuresetn),
+ .q(RAMCODE_WADDR[6])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg0_b7 (
+ .ce(\RAMCODE_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[9]),
+ .sr(cpuresetn),
+ .q(RAMCODE_WADDR[7])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg0_b8 (
+ .ce(\RAMCODE_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[10]),
+ .sr(cpuresetn),
+ .q(RAMCODE_WADDR[8])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg0_b9 (
+ .ce(\RAMCODE_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[11]),
+ .sr(cpuresetn),
+ .q(RAMCODE_WADDR[9])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg1_b0 (
+ .ce(\RAMCODE_Interface/n10 ),
+ .clk(clk_pad),
+ .d(\FMDATA_Interface/sel0_b0_sel_o ),
+ .sr(cpuresetn),
+ .q(\RAMCODE_Interface/size_reg [0])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg1_b1 (
+ .ce(\RAMCODE_Interface/n10 ),
+ .clk(clk_pad),
+ .d(\FMDATA_Interface/sel0_b1_sel_o ),
+ .sr(cpuresetn),
+ .q(\RAMCODE_Interface/size_reg [1])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg1_b2 (
+ .ce(\RAMCODE_Interface/n10 ),
+ .clk(clk_pad),
+ .d(\FMDATA_Interface/sel0_b2_sel_o ),
+ .sr(cpuresetn),
+ .q(\RAMCODE_Interface/size_reg [2])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/reg1_b3 (
+ .ce(\RAMCODE_Interface/n10 ),
+ .clk(clk_pad),
+ .d(\FMDATA_Interface/sel0_b3_sel_o ),
+ .sr(cpuresetn),
+ .q(\RAMCODE_Interface/size_reg [3])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMCODE_Interface/wr_en_reg_reg (
+ .clk(clk_pad),
+ .d(\RAMCODE_Interface/n10 ),
+ .sr(cpuresetn),
+ .q(\RAMCODE_Interface/wr_en_reg )); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(66)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg0_b0 (
+ .ce(\RAMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[2]),
+ .sr(cpuresetn),
+ .q(RAMDATA_WADDR[0])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg0_b1 (
+ .ce(\RAMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[3]),
+ .sr(cpuresetn),
+ .q(RAMDATA_WADDR[1])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg0_b10 (
+ .ce(\RAMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[12]),
+ .sr(cpuresetn),
+ .q(RAMDATA_WADDR[10])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg0_b11 (
+ .ce(\RAMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[13]),
+ .sr(cpuresetn),
+ .q(RAMDATA_WADDR[11])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg0_b2 (
+ .ce(\RAMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[4]),
+ .sr(cpuresetn),
+ .q(RAMDATA_WADDR[2])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg0_b3 (
+ .ce(\RAMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[5]),
+ .sr(cpuresetn),
+ .q(RAMDATA_WADDR[3])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg0_b4 (
+ .ce(\RAMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[6]),
+ .sr(cpuresetn),
+ .q(RAMDATA_WADDR[4])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg0_b5 (
+ .ce(\RAMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[7]),
+ .sr(cpuresetn),
+ .q(RAMDATA_WADDR[5])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg0_b6 (
+ .ce(\RAMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[8]),
+ .sr(cpuresetn),
+ .q(RAMDATA_WADDR[6])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg0_b7 (
+ .ce(\RAMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[9]),
+ .sr(cpuresetn),
+ .q(RAMDATA_WADDR[7])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg0_b8 (
+ .ce(\RAMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[10]),
+ .sr(cpuresetn),
+ .q(RAMDATA_WADDR[8])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg0_b9 (
+ .ce(\RAMDATA_Interface/n15 ),
+ .clk(clk_pad),
+ .d(HADDR[11]),
+ .sr(cpuresetn),
+ .q(RAMDATA_WADDR[9])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg1_b0 (
+ .ce(\RAMDATA_Interface/n10 ),
+ .clk(clk_pad),
+ .d(\FMDATA_Interface/sel0_b0_sel_o ),
+ .sr(cpuresetn),
+ .q(\RAMDATA_Interface/size_reg [0])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg1_b1 (
+ .ce(\RAMDATA_Interface/n10 ),
+ .clk(clk_pad),
+ .d(\FMDATA_Interface/sel0_b1_sel_o ),
+ .sr(cpuresetn),
+ .q(\RAMDATA_Interface/size_reg [1])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg1_b2 (
+ .ce(\RAMDATA_Interface/n10 ),
+ .clk(clk_pad),
+ .d(\FMDATA_Interface/sel0_b2_sel_o ),
+ .sr(cpuresetn),
+ .q(\RAMDATA_Interface/size_reg [2])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/reg1_b3 (
+ .ce(\RAMDATA_Interface/n10 ),
+ .clk(clk_pad),
+ .d(\FMDATA_Interface/sel0_b3_sel_o ),
+ .sr(cpuresetn),
+ .q(\RAMDATA_Interface/size_reg [3])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \RAMDATA_Interface/wr_en_reg_reg (
+ .clk(clk_pad),
+ .d(\RAMDATA_Interface/n10 ),
+ .sr(cpuresetn),
+ .q(\RAMDATA_Interface/wr_en_reg )); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(66)
+ // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0004"),
+ //.WID("0x0004"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
+ .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
+ .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
+ .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
+ .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
+ .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
+ .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
+ .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
+ .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
+ .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
+ .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
+ .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
+ .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
+ .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
+ .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
+ .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
+ .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
+ .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
+ .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
+ .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
+ .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_000 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n42,open_n43,open_n44,HWDATA[1],open_n45,open_n46,HWDATA[0],open_n47,open_n48}),
+ .wea(RAMCODE_WRITE[0]),
+ .dob({open_n72,open_n73,open_n74,open_n75,open_n76,open_n77,open_n78,RAMCODE_RDATA[1:0]}));
+ // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0005"),
+ //.WID("0x0005"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
+ .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
+ .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
+ .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
+ .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
+ .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
+ .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
+ .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
+ .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
+ .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
+ .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
+ .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
+ .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
+ .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
+ .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
+ .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
+ .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
+ .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
+ .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
+ .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
+ .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_002 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n87,open_n88,open_n89,HWDATA[3],open_n90,open_n91,HWDATA[2],open_n92,open_n93}),
+ .wea(RAMCODE_WRITE[0]),
+ .dob({open_n117,open_n118,open_n119,open_n120,open_n121,open_n122,open_n123,RAMCODE_RDATA[3:2]}));
+ // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0006"),
+ //.WID("0x0006"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
+ .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
+ .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
+ .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
+ .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
+ .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
+ .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
+ .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
+ .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
+ .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
+ .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
+ .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
+ .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
+ .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
+ .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
+ .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
+ .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
+ .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
+ .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
+ .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
+ .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_004 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n132,open_n133,open_n134,HWDATA[5],open_n135,open_n136,HWDATA[4],open_n137,open_n138}),
+ .wea(RAMCODE_WRITE[0]),
+ .dob({open_n162,open_n163,open_n164,open_n165,open_n166,open_n167,open_n168,RAMCODE_RDATA[5:4]}));
+ // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0007"),
+ //.WID("0x0007"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
+ .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
+ .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
+ .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
+ .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
+ .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
+ .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
+ .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
+ .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
+ .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
+ .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
+ .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
+ .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
+ .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
+ .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
+ .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
+ .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
+ .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
+ .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
+ .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
+ .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_006 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n177,open_n178,open_n179,HWDATA[7],open_n180,open_n181,HWDATA[6],open_n182,open_n183}),
+ .wea(RAMCODE_WRITE[0]),
+ .dob({open_n207,open_n208,open_n209,open_n210,open_n211,open_n212,open_n213,RAMCODE_RDATA[7:6]}));
+ // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0008"),
+ //.WID("0x0008"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
+ .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
+ .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
+ .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
+ .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
+ .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
+ .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
+ .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
+ .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
+ .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
+ .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
+ .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
+ .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
+ .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
+ .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
+ .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
+ .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
+ .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
+ .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
+ .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
+ .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_000 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n222,open_n223,open_n224,HWDATA[9],open_n225,open_n226,HWDATA[8],open_n227,open_n228}),
+ .wea(RAMCODE_WRITE[1]),
+ .dob({open_n252,open_n253,open_n254,open_n255,open_n256,open_n257,open_n258,RAMCODE_RDATA[9:8]}));
+ // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0009"),
+ //.WID("0x0009"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
+ .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
+ .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
+ .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
+ .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
+ .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
+ .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
+ .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
+ .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
+ .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
+ .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
+ .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
+ .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
+ .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
+ .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
+ .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
+ .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
+ .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
+ .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
+ .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
+ .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_002 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n267,open_n268,open_n269,HWDATA[11],open_n270,open_n271,HWDATA[10],open_n272,open_n273}),
+ .wea(RAMCODE_WRITE[1]),
+ .dob({open_n297,open_n298,open_n299,open_n300,open_n301,open_n302,open_n303,RAMCODE_RDATA[11:10]}));
+ // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x000A"),
+ //.WID("0x000A"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
+ .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
+ .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
+ .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
+ .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
+ .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
+ .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
+ .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
+ .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
+ .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
+ .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
+ .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
+ .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
+ .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
+ .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
+ .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
+ .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
+ .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
+ .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
+ .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
+ .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_004 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n312,open_n313,open_n314,HWDATA[13],open_n315,open_n316,HWDATA[12],open_n317,open_n318}),
+ .wea(RAMCODE_WRITE[1]),
+ .dob({open_n342,open_n343,open_n344,open_n345,open_n346,open_n347,open_n348,RAMCODE_RDATA[13:12]}));
+ // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x000B"),
+ //.WID("0x000B"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
+ .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
+ .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
+ .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
+ .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
+ .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
+ .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
+ .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
+ .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
+ .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
+ .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
+ .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
+ .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
+ .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
+ .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
+ .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
+ .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
+ .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
+ .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
+ .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
+ .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_006 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n357,open_n358,open_n359,HWDATA[15],open_n360,open_n361,HWDATA[14],open_n362,open_n363}),
+ .wea(RAMCODE_WRITE[1]),
+ .dob({open_n387,open_n388,open_n389,open_n390,open_n391,open_n392,open_n393,RAMCODE_RDATA[15:14]}));
+ // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x000C"),
+ //.WID("0x000C"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
+ .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
+ .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
+ .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
+ .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
+ .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
+ .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
+ .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
+ .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
+ .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
+ .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
+ .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
+ .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
+ .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
+ .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
+ .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
+ .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
+ .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
+ .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
+ .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
+ .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_000 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n402,open_n403,open_n404,HWDATA[17],open_n405,open_n406,HWDATA[16],open_n407,open_n408}),
+ .wea(RAMCODE_WRITE[2]),
+ .dob({open_n432,open_n433,open_n434,open_n435,open_n436,open_n437,open_n438,RAMCODE_RDATA[17:16]}));
+ // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x000D"),
+ //.WID("0x000D"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
+ .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
+ .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
+ .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
+ .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
+ .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
+ .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
+ .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
+ .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
+ .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
+ .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
+ .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
+ .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
+ .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
+ .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
+ .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
+ .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
+ .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
+ .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
+ .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
+ .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_002 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n447,open_n448,open_n449,HWDATA[19],open_n450,open_n451,HWDATA[18],open_n452,open_n453}),
+ .wea(RAMCODE_WRITE[2]),
+ .dob({open_n477,open_n478,open_n479,open_n480,open_n481,open_n482,open_n483,RAMCODE_RDATA[19:18]}));
+ // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x000E"),
+ //.WID("0x000E"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
+ .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
+ .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
+ .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
+ .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
+ .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
+ .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
+ .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
+ .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
+ .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
+ .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
+ .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
+ .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
+ .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
+ .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
+ .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
+ .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
+ .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
+ .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
+ .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
+ .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_004 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n492,open_n493,open_n494,HWDATA[21],open_n495,open_n496,HWDATA[20],open_n497,open_n498}),
+ .wea(RAMCODE_WRITE[2]),
+ .dob({open_n522,open_n523,open_n524,open_n525,open_n526,open_n527,open_n528,RAMCODE_RDATA[21:20]}));
+ // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x000F"),
+ //.WID("0x000F"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
+ .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
+ .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
+ .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
+ .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
+ .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
+ .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
+ .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
+ .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
+ .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
+ .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
+ .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
+ .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
+ .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
+ .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
+ .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
+ .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
+ .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
+ .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
+ .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
+ .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_006 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n537,open_n538,open_n539,HWDATA[23],open_n540,open_n541,HWDATA[22],open_n542,open_n543}),
+ .wea(RAMCODE_WRITE[2]),
+ .dob({open_n567,open_n568,open_n569,open_n570,open_n571,open_n572,open_n573,RAMCODE_RDATA[23:22]}));
+ // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0010"),
+ //.WID("0x0010"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
+ .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
+ .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
+ .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
+ .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
+ .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
+ .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
+ .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
+ .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
+ .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
+ .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
+ .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
+ .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
+ .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
+ .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
+ .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
+ .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
+ .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
+ .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
+ .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
+ .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_000 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n582,open_n583,open_n584,HWDATA[25],open_n585,open_n586,HWDATA[24],open_n587,open_n588}),
+ .wea(RAMCODE_WRITE[3]),
+ .dob({open_n612,open_n613,open_n614,open_n615,open_n616,open_n617,open_n618,RAMCODE_RDATA[25:24]}));
+ // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0011"),
+ //.WID("0x0011"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
+ .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
+ .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
+ .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
+ .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
+ .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
+ .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
+ .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
+ .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
+ .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
+ .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
+ .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
+ .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
+ .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
+ .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
+ .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
+ .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
+ .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
+ .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
+ .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
+ .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_002 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n627,open_n628,open_n629,HWDATA[27],open_n630,open_n631,HWDATA[26],open_n632,open_n633}),
+ .wea(RAMCODE_WRITE[3]),
+ .dob({open_n657,open_n658,open_n659,open_n660,open_n661,open_n662,open_n663,RAMCODE_RDATA[27:26]}));
+ // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0012"),
+ //.WID("0x0012"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
+ .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
+ .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
+ .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
+ .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
+ .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
+ .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
+ .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
+ .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
+ .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
+ .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
+ .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
+ .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
+ .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
+ .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
+ .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
+ .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
+ .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
+ .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
+ .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
+ .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_004 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n672,open_n673,open_n674,HWDATA[29],open_n675,open_n676,HWDATA[28],open_n677,open_n678}),
+ .wea(RAMCODE_WRITE[3]),
+ .dob({open_n702,open_n703,open_n704,open_n705,open_n706,open_n707,open_n708,RAMCODE_RDATA[29:28]}));
+ // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0013"),
+ //.WID("0x0013"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
+ .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
+ .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
+ .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
+ .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
+ .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
+ .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
+ .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
+ .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
+ .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
+ .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
+ .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
+ .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
+ .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
+ .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
+ .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
+ .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
+ .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
+ .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
+ .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
+ .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_006 (
+ .addra({RAMCODE_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n717,open_n718,open_n719,HWDATA[31],open_n720,open_n721,HWDATA[30],open_n722,open_n723}),
+ .wea(RAMCODE_WRITE[3]),
+ .dob({open_n747,open_n748,open_n749,open_n750,open_n751,open_n752,open_n753,RAMCODE_RDATA[31:30]}));
+ // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0014"),
+ //.WID("0x0014"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
+ .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
+ .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
+ .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
+ .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
+ .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
+ .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
+ .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
+ .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
+ .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
+ .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
+ .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
+ .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
+ .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
+ .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
+ .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
+ .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
+ .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
+ .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
+ .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
+ .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_000 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n762,open_n763,open_n764,HWDATA[1],open_n765,open_n766,HWDATA[0],open_n767,open_n768}),
+ .wea(RAMDATA_WRITE[0]),
+ .dob({open_n792,open_n793,open_n794,open_n795,open_n796,open_n797,open_n798,RAMDATA_RDATA[1:0]}));
+ // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0015"),
+ //.WID("0x0015"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
+ .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
+ .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
+ .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
+ .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
+ .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
+ .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
+ .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
+ .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
+ .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
+ .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
+ .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
+ .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
+ .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
+ .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
+ .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
+ .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
+ .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
+ .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
+ .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
+ .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_002 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n807,open_n808,open_n809,HWDATA[3],open_n810,open_n811,HWDATA[2],open_n812,open_n813}),
+ .wea(RAMDATA_WRITE[0]),
+ .dob({open_n837,open_n838,open_n839,open_n840,open_n841,open_n842,open_n843,RAMDATA_RDATA[3:2]}));
+ // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0016"),
+ //.WID("0x0016"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
+ .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
+ .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
+ .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
+ .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
+ .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
+ .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
+ .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
+ .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
+ .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
+ .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
+ .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
+ .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
+ .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
+ .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
+ .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
+ .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
+ .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
+ .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
+ .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
+ .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_004 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n852,open_n853,open_n854,HWDATA[5],open_n855,open_n856,HWDATA[4],open_n857,open_n858}),
+ .wea(RAMDATA_WRITE[0]),
+ .dob({open_n882,open_n883,open_n884,open_n885,open_n886,open_n887,open_n888,RAMDATA_RDATA[5:4]}));
+ // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0017"),
+ //.WID("0x0017"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
+ .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
+ .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
+ .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
+ .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
+ .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
+ .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
+ .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
+ .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
+ .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
+ .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
+ .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
+ .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
+ .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
+ .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
+ .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
+ .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
+ .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
+ .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
+ .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
+ .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_006 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n897,open_n898,open_n899,HWDATA[7],open_n900,open_n901,HWDATA[6],open_n902,open_n903}),
+ .wea(RAMDATA_WRITE[0]),
+ .dob({open_n927,open_n928,open_n929,open_n930,open_n931,open_n932,open_n933,RAMDATA_RDATA[7:6]}));
+ // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0018"),
+ //.WID("0x0018"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
+ .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
+ .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
+ .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
+ .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
+ .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
+ .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
+ .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
+ .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
+ .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
+ .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
+ .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
+ .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
+ .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
+ .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
+ .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
+ .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
+ .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
+ .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
+ .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
+ .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_000 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n942,open_n943,open_n944,HWDATA[9],open_n945,open_n946,HWDATA[8],open_n947,open_n948}),
+ .wea(RAMDATA_WRITE[1]),
+ .dob({open_n972,open_n973,open_n974,open_n975,open_n976,open_n977,open_n978,RAMDATA_RDATA[9:8]}));
+ // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0019"),
+ //.WID("0x0019"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
+ .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
+ .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
+ .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
+ .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
+ .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
+ .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
+ .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
+ .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
+ .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
+ .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
+ .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
+ .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
+ .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
+ .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
+ .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
+ .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
+ .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
+ .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
+ .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
+ .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_002 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n987,open_n988,open_n989,HWDATA[11],open_n990,open_n991,HWDATA[10],open_n992,open_n993}),
+ .wea(RAMDATA_WRITE[1]),
+ .dob({open_n1017,open_n1018,open_n1019,open_n1020,open_n1021,open_n1022,open_n1023,RAMDATA_RDATA[11:10]}));
+ // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x001A"),
+ //.WID("0x001A"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
+ .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
+ .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
+ .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
+ .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
+ .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
+ .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
+ .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
+ .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
+ .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
+ .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
+ .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
+ .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
+ .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
+ .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
+ .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
+ .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
+ .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
+ .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
+ .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
+ .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_004 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n1032,open_n1033,open_n1034,HWDATA[13],open_n1035,open_n1036,HWDATA[12],open_n1037,open_n1038}),
+ .wea(RAMDATA_WRITE[1]),
+ .dob({open_n1062,open_n1063,open_n1064,open_n1065,open_n1066,open_n1067,open_n1068,RAMDATA_RDATA[13:12]}));
+ // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x001B"),
+ //.WID("0x001B"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
+ .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
+ .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
+ .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
+ .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
+ .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
+ .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
+ .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
+ .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
+ .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
+ .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
+ .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
+ .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
+ .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
+ .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
+ .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
+ .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
+ .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
+ .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
+ .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
+ .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_006 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n1077,open_n1078,open_n1079,HWDATA[15],open_n1080,open_n1081,HWDATA[14],open_n1082,open_n1083}),
+ .wea(RAMDATA_WRITE[1]),
+ .dob({open_n1107,open_n1108,open_n1109,open_n1110,open_n1111,open_n1112,open_n1113,RAMDATA_RDATA[15:14]}));
+ // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x001C"),
+ //.WID("0x001C"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
+ .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
+ .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
+ .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
+ .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
+ .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
+ .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
+ .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
+ .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
+ .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
+ .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
+ .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
+ .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
+ .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
+ .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
+ .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
+ .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
+ .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
+ .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
+ .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
+ .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_000 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n1122,open_n1123,open_n1124,HWDATA[17],open_n1125,open_n1126,HWDATA[16],open_n1127,open_n1128}),
+ .wea(RAMDATA_WRITE[2]),
+ .dob({open_n1152,open_n1153,open_n1154,open_n1155,open_n1156,open_n1157,open_n1158,RAMDATA_RDATA[17:16]}));
+ // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x001D"),
+ //.WID("0x001D"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
+ .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
+ .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
+ .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
+ .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
+ .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
+ .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
+ .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
+ .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
+ .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
+ .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
+ .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
+ .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
+ .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
+ .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
+ .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
+ .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
+ .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
+ .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
+ .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
+ .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_002 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n1167,open_n1168,open_n1169,HWDATA[19],open_n1170,open_n1171,HWDATA[18],open_n1172,open_n1173}),
+ .wea(RAMDATA_WRITE[2]),
+ .dob({open_n1197,open_n1198,open_n1199,open_n1200,open_n1201,open_n1202,open_n1203,RAMDATA_RDATA[19:18]}));
+ // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x001E"),
+ //.WID("0x001E"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
+ .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
+ .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
+ .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
+ .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
+ .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
+ .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
+ .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
+ .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
+ .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
+ .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
+ .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
+ .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
+ .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
+ .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
+ .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
+ .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
+ .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
+ .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
+ .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
+ .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_004 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n1212,open_n1213,open_n1214,HWDATA[21],open_n1215,open_n1216,HWDATA[20],open_n1217,open_n1218}),
+ .wea(RAMDATA_WRITE[2]),
+ .dob({open_n1242,open_n1243,open_n1244,open_n1245,open_n1246,open_n1247,open_n1248,RAMDATA_RDATA[21:20]}));
+ // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x001F"),
+ //.WID("0x001F"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
+ .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
+ .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
+ .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
+ .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
+ .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
+ .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
+ .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
+ .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
+ .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
+ .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
+ .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
+ .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
+ .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
+ .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
+ .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
+ .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
+ .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
+ .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
+ .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
+ .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_006 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n1257,open_n1258,open_n1259,HWDATA[23],open_n1260,open_n1261,HWDATA[22],open_n1262,open_n1263}),
+ .wea(RAMDATA_WRITE[2]),
+ .dob({open_n1287,open_n1288,open_n1289,open_n1290,open_n1291,open_n1292,open_n1293,RAMDATA_RDATA[23:22]}));
+ // address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0020"),
+ //.WID("0x0020"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
+ .INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
+ .INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
+ .INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
+ .INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
+ .INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
+ .INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
+ .INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
+ .INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
+ .INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
+ .INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
+ .INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
+ .INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
+ .INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
+ .INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
+ .INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
+ .INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
+ .INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
+ .INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
+ .INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
+ .INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_000 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n1302,open_n1303,open_n1304,HWDATA[25],open_n1305,open_n1306,HWDATA[24],open_n1307,open_n1308}),
+ .wea(RAMDATA_WRITE[3]),
+ .dob({open_n1332,open_n1333,open_n1334,open_n1335,open_n1336,open_n1337,open_n1338,RAMDATA_RDATA[25:24]}));
+ // address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0021"),
+ //.WID("0x0021"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
+ .INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
+ .INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
+ .INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
+ .INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
+ .INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
+ .INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
+ .INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
+ .INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
+ .INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
+ .INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
+ .INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
+ .INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
+ .INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
+ .INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
+ .INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
+ .INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
+ .INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
+ .INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
+ .INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
+ .INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_002 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n1347,open_n1348,open_n1349,HWDATA[27],open_n1350,open_n1351,HWDATA[26],open_n1352,open_n1353}),
+ .wea(RAMDATA_WRITE[3]),
+ .dob({open_n1377,open_n1378,open_n1379,open_n1380,open_n1381,open_n1382,open_n1383,RAMDATA_RDATA[27:26]}));
+ // address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0022"),
+ //.WID("0x0022"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
+ .INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
+ .INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
+ .INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
+ .INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
+ .INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
+ .INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
+ .INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
+ .INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
+ .INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
+ .INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
+ .INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
+ .INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
+ .INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
+ .INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
+ .INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
+ .INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
+ .INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
+ .INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
+ .INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
+ .INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_004 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n1392,open_n1393,open_n1394,HWDATA[29],open_n1395,open_n1396,HWDATA[28],open_n1397,open_n1398}),
+ .wea(RAMDATA_WRITE[3]),
+ .dob({open_n1422,open_n1423,open_n1424,open_n1425,open_n1426,open_n1427,open_n1428,RAMDATA_RDATA[29:28]}));
+ // address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
+ EG_PHY_BRAM #(
+ //.RID("0x0023"),
+ //.WID("0x0023"),
+ .CEAMUX("1"),
+ .CEBMUX("1"),
+ .CSA0("1"),
+ .CSA1("1"),
+ .CSA2("1"),
+ .CSB0("1"),
+ .CSB1("1"),
+ .CSB2("1"),
+ .DATA_WIDTH_A("2"),
+ .DATA_WIDTH_B("2"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
+ .INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
+ .INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
+ .INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
+ .INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
+ .INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
+ .INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
+ .INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
+ .INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
+ .INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
+ .INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
+ .INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
+ .INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
+ .INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
+ .INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
+ .INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
+ .INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
+ .INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
+ .INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
+ .INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
+ .INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .MODE("DP8K"),
+ .OCEAMUX("1"),
+ .OCEBMUX("1"),
+ .READBACK("OFF"),
+ .REGMODE_A("NOREG"),
+ .REGMODE_B("NOREG"),
+ .RESETMODE("ASYNC"),
+ .RSTAMUX("0"),
+ .RSTBMUX("0"),
+ .WEBMUX("0"),
+ .WRITEMODE_A("NORMAL"),
+ .WRITEMODE_B("NORMAL"))
+ \RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_006 (
+ .addra({RAMDATA_WADDR,1'b1}),
+ .addrb({HADDR[13:2],1'b1}),
+ .clka(clk_pad),
+ .clkb(clk_pad),
+ .dia({open_n1437,open_n1438,open_n1439,HWDATA[31],open_n1440,open_n1441,HWDATA[30],open_n1442,open_n1443}),
+ .wea(RAMDATA_WRITE[3]),
+ .dob({open_n1467,open_n1468,open_n1469,open_n1470,open_n1471,open_n1472,open_n1473,RAMDATA_RDATA[31:30]}));
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_Interface/wr_en_reg_reg (
+ .clk(clk_pad),
+ .d(\SPI_Interface/write_en ),
+ .sr(cpuresetn),
+ .q(\SPI_Interface/wr_en_reg )); // ../rtl/AHBsubordinate/AHBlite_SPI.v(43)
+ EG_PHY_LSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_l (
+ .a({SPI_TX_Data[0],\SPI_TX/FIFO_SPI/wp [0]}),
+ .b({SPI_TX_Data[1],\SPI_TX/FIFO_SPI/wp [1]}),
+ .c({SPI_TX_Data[2],\SPI_TX/FIFO_SPI/wp [2]}),
+ .clk(clk_pad),
+ .d({SPI_TX_Data[3],\SPI_TX/FIFO_SPI/wp [3]}),
+ .e({open_n1476,\SPI_TX/FIFO_SPI/n2_0 }),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di ),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di [1:0]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_001 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m1 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di [3:2]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_003 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_l (
+ .a({SPI_TX_Data[4],\SPI_TX/FIFO_SPI/wp [0]}),
+ .b({SPI_TX_Data[5],\SPI_TX/FIFO_SPI/wp [1]}),
+ .c({SPI_TX_Data[6],\SPI_TX/FIFO_SPI/wp [2]}),
+ .clk(clk_pad),
+ .d({SPI_TX_Data[7],\SPI_TX/FIFO_SPI/wp [3]}),
+ .e({open_n1511,\SPI_TX/FIFO_SPI/n2_0 }),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di ),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di [1:0]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_005 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m1 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di [3:2]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_007 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_l (
+ .a({SPI_TX_Data[8],\SPI_TX/FIFO_SPI/wp [0]}),
+ .b({SPI_TX_Data[9],\SPI_TX/FIFO_SPI/wp [1]}),
+ .c({SPI_TX_Data[10],\SPI_TX/FIFO_SPI/wp [2]}),
+ .clk(clk_pad),
+ .d({SPI_TX_Data[11],\SPI_TX/FIFO_SPI/wp [3]}),
+ .e({open_n1546,\SPI_TX/FIFO_SPI/n2_0 }),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di ),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di [1:0]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_009 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_008 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m1 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di [3:2]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_011 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_010 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_l (
+ .a({SPI_TX_Data[12],\SPI_TX/FIFO_SPI/wp [0]}),
+ .b({SPI_TX_Data[13],\SPI_TX/FIFO_SPI/wp [1]}),
+ .c({SPI_TX_Data[14],\SPI_TX/FIFO_SPI/wp [2]}),
+ .clk(clk_pad),
+ .d({SPI_TX_Data[15],\SPI_TX/FIFO_SPI/wp [3]}),
+ .e({open_n1581,\SPI_TX/FIFO_SPI/n2_0 }),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di ),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di [1:0]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_013 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_012 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m1 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di [3:2]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_015 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_014 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_l (
+ .a({SPI_TX_Data[16],\SPI_TX/FIFO_SPI/wp [0]}),
+ .b({SPI_TX_Data[17],\SPI_TX/FIFO_SPI/wp [1]}),
+ .c({SPI_TX_Data[18],\SPI_TX/FIFO_SPI/wp [2]}),
+ .clk(clk_pad),
+ .d({SPI_TX_Data[19],\SPI_TX/FIFO_SPI/wp [3]}),
+ .e({open_n1616,\SPI_TX/FIFO_SPI/n2_0 }),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di ),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di [1:0]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_017 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_016 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m1 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di [3:2]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_019 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_018 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_l (
+ .a({SPI_TX_Data[20],\SPI_TX/FIFO_SPI/wp [0]}),
+ .b({SPI_TX_Data[21],\SPI_TX/FIFO_SPI/wp [1]}),
+ .c({SPI_TX_Data[22],\SPI_TX/FIFO_SPI/wp [2]}),
+ .clk(clk_pad),
+ .d({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [3]}),
+ .e({open_n1651,\SPI_TX/FIFO_SPI/n2_0 }),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di ),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di [1:0]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_021 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_020 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m1 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di [3:2]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_023 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_022 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_l (
+ .a({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [0]}),
+ .b({1'b0,\SPI_TX/FIFO_SPI/wp [1]}),
+ .c({1'b0,\SPI_TX/FIFO_SPI/wp [2]}),
+ .clk(clk_pad),
+ .d({1'b0,\SPI_TX/FIFO_SPI/wp [3]}),
+ .e({open_n1686,\SPI_TX/FIFO_SPI/n2_0 }),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di ),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di [1:0]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ),
+ .f({open_n1704,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_024 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m1 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di [3:2]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ));
+ EG_PHY_LSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_l (
+ .a({SPI_TX_Data[0],\SPI_TX/FIFO_SPI/wp [0]}),
+ .b({SPI_TX_Data[1],\SPI_TX/FIFO_SPI/wp [1]}),
+ .c({SPI_TX_Data[2],\SPI_TX/FIFO_SPI/wp [2]}),
+ .clk(clk_pad),
+ .d({SPI_TX_Data[3],\SPI_TX/FIFO_SPI/wp [3]}),
+ .e({open_n1724,\SPI_TX/FIFO_SPI/n2_1 }),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di ),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di [1:0]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_001 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m1 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di [3:2]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_003 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_l (
+ .a({SPI_TX_Data[4],\SPI_TX/FIFO_SPI/wp [0]}),
+ .b({SPI_TX_Data[5],\SPI_TX/FIFO_SPI/wp [1]}),
+ .c({SPI_TX_Data[6],\SPI_TX/FIFO_SPI/wp [2]}),
+ .clk(clk_pad),
+ .d({SPI_TX_Data[7],\SPI_TX/FIFO_SPI/wp [3]}),
+ .e({open_n1759,\SPI_TX/FIFO_SPI/n2_1 }),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di ),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di [1:0]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_005 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m1 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di [3:2]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_007 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_l (
+ .a({SPI_TX_Data[8],\SPI_TX/FIFO_SPI/wp [0]}),
+ .b({SPI_TX_Data[9],\SPI_TX/FIFO_SPI/wp [1]}),
+ .c({SPI_TX_Data[10],\SPI_TX/FIFO_SPI/wp [2]}),
+ .clk(clk_pad),
+ .d({SPI_TX_Data[11],\SPI_TX/FIFO_SPI/wp [3]}),
+ .e({open_n1794,\SPI_TX/FIFO_SPI/n2_1 }),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di ),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di [1:0]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_009 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_008 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m1 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di [3:2]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_011 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_010 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_l (
+ .a({SPI_TX_Data[12],\SPI_TX/FIFO_SPI/wp [0]}),
+ .b({SPI_TX_Data[13],\SPI_TX/FIFO_SPI/wp [1]}),
+ .c({SPI_TX_Data[14],\SPI_TX/FIFO_SPI/wp [2]}),
+ .clk(clk_pad),
+ .d({SPI_TX_Data[15],\SPI_TX/FIFO_SPI/wp [3]}),
+ .e({open_n1829,\SPI_TX/FIFO_SPI/n2_1 }),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di ),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di [1:0]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_013 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_012 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m1 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di [3:2]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_015 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_014 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_l (
+ .a({SPI_TX_Data[16],\SPI_TX/FIFO_SPI/wp [0]}),
+ .b({SPI_TX_Data[17],\SPI_TX/FIFO_SPI/wp [1]}),
+ .c({SPI_TX_Data[18],\SPI_TX/FIFO_SPI/wp [2]}),
+ .clk(clk_pad),
+ .d({SPI_TX_Data[19],\SPI_TX/FIFO_SPI/wp [3]}),
+ .e({open_n1864,\SPI_TX/FIFO_SPI/n2_1 }),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di ),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di [1:0]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_017 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_016 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m1 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di [3:2]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_019 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_018 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_l (
+ .a({SPI_TX_Data[20],\SPI_TX/FIFO_SPI/wp [0]}),
+ .b({SPI_TX_Data[21],\SPI_TX/FIFO_SPI/wp [1]}),
+ .c({SPI_TX_Data[22],\SPI_TX/FIFO_SPI/wp [2]}),
+ .clk(clk_pad),
+ .d({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [3]}),
+ .e({open_n1899,\SPI_TX/FIFO_SPI/n2_1 }),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di ),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di [1:0]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_021 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_020 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m1 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di [3:2]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ),
+ .f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_023 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_022 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_l (
+ .a({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [0]}),
+ .b({1'b0,\SPI_TX/FIFO_SPI/wp [1]}),
+ .c({1'b0,\SPI_TX/FIFO_SPI/wp [2]}),
+ .clk(clk_pad),
+ .d({1'b0,\SPI_TX/FIFO_SPI/wp [3]}),
+ .e({open_n1934,\SPI_TX/FIFO_SPI/n2_1 }),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di ),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di [1:0]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ),
+ .f({open_n1952,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_024 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m1 (
+ .a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
+ .b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
+ .c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
+ .d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
+ .dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di [3:2]),
+ .dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ),
+ .dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ),
+ .dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ),
+ .dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ));
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/FIFO_SPI/r_flag_reg (
+ .ce(\SPI_TX/FIFO_SPI/u13_sel_is_3_o ),
+ .clk(clk_pad),
+ .d(\SPI_TX/FIFO_SPI/n19 ),
+ .sr(cpuresetn),
+ .q(\SPI_TX/FIFO_SPI/r_flag )); // ../rtl/peripherals/FIFO_SPI.v(47)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/FIFO_SPI/reg0_b0 (
+ .ce(\SPI_TX/FIFOrd_en ),
+ .clk(clk_pad),
+ .d(\SPI_TX/FIFO_SPI/n18 [0]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/FIFO_SPI/rp [0])); // ../rtl/peripherals/FIFO_SPI.v(47)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/FIFO_SPI/reg0_b1 (
+ .ce(\SPI_TX/FIFOrd_en ),
+ .clk(clk_pad),
+ .d(\SPI_TX/FIFO_SPI/n17 [1]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/FIFO_SPI/rp [1])); // ../rtl/peripherals/FIFO_SPI.v(47)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/FIFO_SPI/reg0_b2 (
+ .ce(\SPI_TX/FIFOrd_en ),
+ .clk(clk_pad),
+ .d(\SPI_TX/FIFO_SPI/n17 [2]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/FIFO_SPI/rp [2])); // ../rtl/peripherals/FIFO_SPI.v(47)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/FIFO_SPI/reg0_b3 (
+ .ce(\SPI_TX/FIFOrd_en ),
+ .clk(clk_pad),
+ .d(\SPI_TX/FIFO_SPI/n18 [3]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/FIFO_SPI/rp [3])); // ../rtl/peripherals/FIFO_SPI.v(47)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/FIFO_SPI/reg0_b4 (
+ .ce(\SPI_TX/FIFOrd_en ),
+ .clk(clk_pad),
+ .d(\SPI_TX/FIFO_SPI/n18 [4]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/FIFO_SPI/rp [4])); // ../rtl/peripherals/FIFO_SPI.v(47)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/FIFO_SPI/reg1_b0 (
+ .ce(\SPI_TX/FIFOwr_en ),
+ .clk(clk_pad),
+ .d(\SPI_TX/FIFO_SPI/n6 [0]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/FIFO_SPI/wp [0])); // ../rtl/peripherals/FIFO_SPI.v(31)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/FIFO_SPI/reg1_b1 (
+ .ce(\SPI_TX/FIFOwr_en ),
+ .clk(clk_pad),
+ .d(\SPI_TX/FIFO_SPI/n5 [1]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/FIFO_SPI/wp [1])); // ../rtl/peripherals/FIFO_SPI.v(31)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/FIFO_SPI/reg1_b2 (
+ .ce(\SPI_TX/FIFOwr_en ),
+ .clk(clk_pad),
+ .d(\SPI_TX/FIFO_SPI/n5 [2]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/FIFO_SPI/wp [2])); // ../rtl/peripherals/FIFO_SPI.v(31)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/FIFO_SPI/reg1_b3 (
+ .ce(\SPI_TX/FIFOwr_en ),
+ .clk(clk_pad),
+ .d(\SPI_TX/FIFO_SPI/n6 [3]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/FIFO_SPI/wp [3])); // ../rtl/peripherals/FIFO_SPI.v(31)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/FIFO_SPI/reg1_b4 (
+ .ce(\SPI_TX/FIFOwr_en ),
+ .clk(clk_pad),
+ .d(\SPI_TX/FIFO_SPI/n6 [4]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/FIFO_SPI/wp [4])); // ../rtl/peripherals/FIFO_SPI.v(31)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/FIFO_SPI/w_flag_reg (
+ .ce(\SPI_TX/FIFO_SPI/u7_sel_is_3_o ),
+ .clk(clk_pad),
+ .d(\SPI_TX/FIFO_SPI/n7 ),
+ .sr(cpuresetn),
+ .q(\SPI_TX/FIFO_SPI/w_flag )); // ../rtl/peripherals/FIFO_SPI.v(31)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/MSI_CS_reg (
+ .ce(\SPI_TX/count_en ),
+ .clk(clk_pad),
+ .d(\SPI_TX/n104 ),
+ .sr(cpuresetn),
+ .q(MSI_CS_pad)); // ../rtl/peripherals/SPI_TX.v(72)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/MSI_clk_en_reg (
+ .ce(\SPI_TX/count_en ),
+ .clk(clk_pad),
+ .d(\SPI_TX/n109 ),
+ .sr(cpuresetn),
+ .q(\SPI_TX/MSI_clk_en )); // ../rtl/peripherals/SPI_TX.v(72)
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
+ //.R_POSITION("X0Y0Z0"),
+ .ALUTYPE("ADD_CARRY"),
+ .INIT_LUT0(16'b0000000000001010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \SPI_TX/add0/u0|SPI_TX/add0/ucin (
+ .a({\SPI_TX/counter [0],1'b0}),
+ .b({1'b1,open_n1971}),
+ .f({\SPI_TX/n31 [0],open_n1991}),
+ .fco(\SPI_TX/add0/c1 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
+ //.R_POSITION("X0Y2Z1"),
+ .ALUTYPE("ADD"),
+ .INIT_LUT0(16'b0110011001101010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \SPI_TX/add0/u10|SPI_TX/add0/u9 (
+ .a(\SPI_TX/counter [10:9]),
+ .b(2'b00),
+ .fci(\SPI_TX/add0/c9 ),
+ .f(\SPI_TX/n31 [10:9]),
+ .fco(\SPI_TX/add0/c11 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
+ //.R_POSITION("X0Y3Z0"),
+ .ALUTYPE("ADD"),
+ .INIT_LUT0(16'b0110011001101010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \SPI_TX/add0/u12|SPI_TX/add0/u11 (
+ .a(\SPI_TX/counter [12:11]),
+ .b(2'b00),
+ .fci(\SPI_TX/add0/c11 ),
+ .f(\SPI_TX/n31 [12:11]),
+ .fco(\SPI_TX/add0/c13 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
+ //.R_POSITION("X0Y3Z1"),
+ .ALUTYPE("ADD"),
+ .INIT_LUT0(16'b0110011001101010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \SPI_TX/add0/u13_al_u535 (
+ .a({open_n2040,\SPI_TX/counter [13]}),
+ .b({open_n2041,1'b0}),
+ .fci(\SPI_TX/add0/c13 ),
+ .f({open_n2060,\SPI_TX/n31 [13]}));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
+ //.R_POSITION("X0Y0Z1"),
+ .ALUTYPE("ADD"),
+ .INIT_LUT0(16'b0110011001101010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \SPI_TX/add0/u2|SPI_TX/add0/u1 (
+ .a(\SPI_TX/counter [2:1]),
+ .b(2'b00),
+ .fci(\SPI_TX/add0/c1 ),
+ .f(\SPI_TX/n31 [2:1]),
+ .fco(\SPI_TX/add0/c3 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
+ //.R_POSITION("X0Y1Z0"),
+ .ALUTYPE("ADD"),
+ .INIT_LUT0(16'b0110011001101010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \SPI_TX/add0/u4|SPI_TX/add0/u3 (
+ .a(\SPI_TX/counter [4:3]),
+ .b(2'b00),
+ .fci(\SPI_TX/add0/c3 ),
+ .f(\SPI_TX/n31 [4:3]),
+ .fco(\SPI_TX/add0/c5 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
+ //.R_POSITION("X0Y1Z1"),
+ .ALUTYPE("ADD"),
+ .INIT_LUT0(16'b0110011001101010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \SPI_TX/add0/u6|SPI_TX/add0/u5 (
+ .a(\SPI_TX/counter [6:5]),
+ .b(2'b00),
+ .fci(\SPI_TX/add0/c5 ),
+ .f(\SPI_TX/n31 [6:5]),
+ .fco(\SPI_TX/add0/c7 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
+ //.R_POSITION("X0Y2Z0"),
+ .ALUTYPE("ADD"),
+ .INIT_LUT0(16'b0110011001101010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \SPI_TX/add0/u8|SPI_TX/add0/u7 (
+ .a(\SPI_TX/counter [8:7]),
+ .b(2'b00),
+ .fci(\SPI_TX/add0/c7 ),
+ .f(\SPI_TX/n31 [8:7]),
+ .fco(\SPI_TX/add0/c9 ));
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/count_en_reg (
+ .clk(clk_pad),
+ .d(\SPI_TX/n24 ),
+ .sr(cpuresetn),
+ .q(\SPI_TX/count_en )); // ../rtl/peripherals/SPI_TX.v(53)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/data_temp_reg (
+ .ce(\SPI_TX/count_en ),
+ .clk(clk_pad),
+ .d(\SPI_TX/n106 ),
+ .sr(cpuresetn),
+ .q(MSI_SDATA_pad)); // ../rtl/peripherals/SPI_TX.v(72)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/reg0_b0 (
+ .clk(clk_pad),
+ .d(\SPI_TX/n33 [0]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/counter [0])); // ../rtl/peripherals/SPI_TX.v(59)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/reg0_b1 (
+ .clk(clk_pad),
+ .d(\SPI_TX/n33 [1]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/counter [1])); // ../rtl/peripherals/SPI_TX.v(59)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/reg0_b10 (
+ .clk(clk_pad),
+ .d(\SPI_TX/n33 [10]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/counter [10])); // ../rtl/peripherals/SPI_TX.v(59)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/reg0_b11 (
+ .clk(clk_pad),
+ .d(\SPI_TX/n33 [11]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/counter [11])); // ../rtl/peripherals/SPI_TX.v(59)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/reg0_b12 (
+ .clk(clk_pad),
+ .d(\SPI_TX/n33 [12]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/counter [12])); // ../rtl/peripherals/SPI_TX.v(59)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/reg0_b13 (
+ .clk(clk_pad),
+ .d(\SPI_TX/n33 [13]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/counter [13])); // ../rtl/peripherals/SPI_TX.v(59)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/reg0_b2 (
+ .clk(clk_pad),
+ .d(\SPI_TX/n33 [2]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/counter [2])); // ../rtl/peripherals/SPI_TX.v(59)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/reg0_b3 (
+ .clk(clk_pad),
+ .d(\SPI_TX/n33 [3]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/counter [3])); // ../rtl/peripherals/SPI_TX.v(59)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/reg0_b4 (
+ .clk(clk_pad),
+ .d(\SPI_TX/n33 [4]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/counter [4])); // ../rtl/peripherals/SPI_TX.v(59)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/reg0_b5 (
+ .clk(clk_pad),
+ .d(\SPI_TX/n33 [5]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/counter [5])); // ../rtl/peripherals/SPI_TX.v(59)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/reg0_b6 (
+ .clk(clk_pad),
+ .d(\SPI_TX/n33 [6]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/counter [6])); // ../rtl/peripherals/SPI_TX.v(59)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/reg0_b7 (
+ .clk(clk_pad),
+ .d(\SPI_TX/n33 [7]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/counter [7])); // ../rtl/peripherals/SPI_TX.v(59)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/reg0_b8 (
+ .clk(clk_pad),
+ .d(\SPI_TX/n33 [8]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/counter [8])); // ../rtl/peripherals/SPI_TX.v(59)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \SPI_TX/reg0_b9 (
+ .clk(clk_pad),
+ .d(\SPI_TX/n33 [9]),
+ .sr(cpuresetn),
+ .q(\SPI_TX/counter [9])); // ../rtl/peripherals/SPI_TX.v(59)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_Interface/rd_en_reg_reg (
+ .clk(clk_pad),
+ .d(\UART_Interface/read_en ),
+ .sr(cpuresetn),
+ .q(\UART_Interface/rd_en_reg )); // ../rtl/AHBsubordinate/AHBlite_UART.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_Interface/reg0_b0 (
+ .ce(\UART_Interface/n5 ),
+ .clk(clk_pad),
+ .d(HADDR[0]),
+ .sr(cpuresetn),
+ .q(\UART_Interface/addr_reg [0])); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_Interface/reg0_b1 (
+ .ce(\UART_Interface/n5 ),
+ .clk(clk_pad),
+ .d(HADDR[1]),
+ .sr(cpuresetn),
+ .q(\UART_Interface/addr_reg [1])); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_Interface/reg0_b2 (
+ .ce(\UART_Interface/n5 ),
+ .clk(clk_pad),
+ .d(HADDR[2]),
+ .sr(cpuresetn),
+ .q(\UART_Interface/addr_reg [2])); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_Interface/reg0_b3 (
+ .ce(\UART_Interface/n5 ),
+ .clk(clk_pad),
+ .d(HADDR[3]),
+ .sr(cpuresetn),
+ .q(\UART_Interface/addr_reg [3])); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_Interface/wr_en_reg_reg (
+ .clk(clk_pad),
+ .d(\UART_Interface/write_en ),
+ .sr(cpuresetn),
+ .q(\UART_Interface/wr_en_reg )); // ../rtl/AHBsubordinate/AHBlite_UART.v(45)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_RX/counter_en_reg (
+ .clk(clk_pad),
+ .d(\UART_RX/n6 ),
+ .sr(cpuresetn),
+ .q(bps_en_rx)); // ../rtl/peripherals/UART_RX.v(26)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg0_b0 (
+ .ce(bps_en_rx),
+ .clk(clk_pad),
+ .d(\UART_RX/n9 [0]),
+ .sr(cpuresetn),
+ .q(\UART_RX/counter [0])); // ../rtl/peripherals/UART_RX.v(32)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg0_b1 (
+ .ce(bps_en_rx),
+ .clk(clk_pad),
+ .d(\UART_RX/n9 [1]),
+ .sr(cpuresetn),
+ .q(\UART_RX/counter [1])); // ../rtl/peripherals/UART_RX.v(32)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg0_b2 (
+ .ce(bps_en_rx),
+ .clk(clk_pad),
+ .d(\UART_RX/n9 [2]),
+ .sr(cpuresetn),
+ .q(\UART_RX/counter [2])); // ../rtl/peripherals/UART_RX.v(32)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg0_b3 (
+ .ce(bps_en_rx),
+ .clk(clk_pad),
+ .d(\UART_RX/n9 [3]),
+ .sr(cpuresetn),
+ .q(\UART_RX/counter [3])); // ../rtl/peripherals/UART_RX.v(32)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg1_b0 (
+ .ce(\UART_RX/mux5_b0_sel_is_3_o ),
+ .clk(clk_pad),
+ .d(RXD_pad),
+ .sr(cpuresetn),
+ .q(UART_RX_data[0])); // ../rtl/peripherals/UART_RX.v(43)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg1_b1 (
+ .ce(\UART_RX/mux5_b1_sel_is_3_o ),
+ .clk(clk_pad),
+ .d(RXD_pad),
+ .sr(cpuresetn),
+ .q(UART_RX_data[1])); // ../rtl/peripherals/UART_RX.v(43)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg1_b2 (
+ .ce(\UART_RX/mux5_b2_sel_is_3_o ),
+ .clk(clk_pad),
+ .d(RXD_pad),
+ .sr(cpuresetn),
+ .q(UART_RX_data[2])); // ../rtl/peripherals/UART_RX.v(43)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg1_b3 (
+ .ce(\UART_RX/mux5_b3_sel_is_3_o ),
+ .clk(clk_pad),
+ .d(RXD_pad),
+ .sr(cpuresetn),
+ .q(UART_RX_data[3])); // ../rtl/peripherals/UART_RX.v(43)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg1_b4 (
+ .ce(\UART_RX/mux5_b4_sel_is_3_o ),
+ .clk(clk_pad),
+ .d(RXD_pad),
+ .sr(cpuresetn),
+ .q(UART_RX_data[4])); // ../rtl/peripherals/UART_RX.v(43)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg1_b5 (
+ .ce(\UART_RX/mux5_b5_sel_is_3_o ),
+ .clk(clk_pad),
+ .d(RXD_pad),
+ .sr(cpuresetn),
+ .q(UART_RX_data[5])); // ../rtl/peripherals/UART_RX.v(43)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg1_b6 (
+ .ce(\UART_RX/mux5_b6_sel_is_3_o ),
+ .clk(clk_pad),
+ .d(RXD_pad),
+ .sr(cpuresetn),
+ .q(UART_RX_data[6])); // ../rtl/peripherals/UART_RX.v(43)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg1_b7 (
+ .ce(\UART_RX/mux5_b7_sel_is_3_o ),
+ .clk(clk_pad),
+ .d(RXD_pad),
+ .sr(cpuresetn),
+ .q(UART_RX_data[7])); // ../rtl/peripherals/UART_RX.v(43)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg2_b0 (
+ .clk(clk_pad),
+ .d(\UART_RX/shift_reg [1]),
+ .sr(cpuresetn),
+ .q(\UART_RX/shift_reg [0])); // ../rtl/peripherals/UART_RX.v(13)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg2_b1 (
+ .clk(clk_pad),
+ .d(\UART_RX/shift_reg [2]),
+ .sr(cpuresetn),
+ .q(\UART_RX/shift_reg [1])); // ../rtl/peripherals/UART_RX.v(13)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg2_b2 (
+ .clk(clk_pad),
+ .d(\UART_RX/shift_reg [3]),
+ .sr(cpuresetn),
+ .q(\UART_RX/shift_reg [2])); // ../rtl/peripherals/UART_RX.v(13)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg2_b3 (
+ .clk(clk_pad),
+ .d(\UART_RX/shift_reg [4]),
+ .sr(cpuresetn),
+ .q(\UART_RX/shift_reg [3])); // ../rtl/peripherals/UART_RX.v(13)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg2_b4 (
+ .clk(clk_pad),
+ .d(\UART_RX/shift_reg [5]),
+ .sr(cpuresetn),
+ .q(\UART_RX/shift_reg [4])); // ../rtl/peripherals/UART_RX.v(13)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg2_b5 (
+ .clk(clk_pad),
+ .d(\UART_RX/shift_reg [6]),
+ .sr(cpuresetn),
+ .q(\UART_RX/shift_reg [5])); // ../rtl/peripherals/UART_RX.v(13)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg2_b6 (
+ .clk(clk_pad),
+ .d(\UART_RX/shift_reg [7]),
+ .sr(cpuresetn),
+ .q(\UART_RX/shift_reg [6])); // ../rtl/peripherals/UART_RX.v(13)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_RX/reg2_b7 (
+ .clk(clk_pad),
+ .d(RXD_pad),
+ .sr(cpuresetn),
+ .q(\UART_RX/shift_reg [7])); // ../rtl/peripherals/UART_RX.v(13)
+ EG_PHY_LSLICE #(
+ //.MACRO("UART_TX/FIFO/al_ram_mem_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \UART_TX/FIFO/al_ram_mem_c0_l (
+ .a({UART_TX_data[0],\UART_TX/FIFO/wp [0]}),
+ .b({UART_TX_data[1],\UART_TX/FIFO/wp [1]}),
+ .c({UART_TX_data[2],\UART_TX/FIFO/wp [2]}),
+ .clk(clk_pad),
+ .d({UART_TX_data[3],\UART_TX/FIFO/wp [3]}),
+ .e({open_n2181,\UART_TX/FIFOwr_en }),
+ .dpram_di(\UART_TX/FIFO/al_ram_mem_c0_di ),
+ .dpram_mode(\UART_TX/FIFO/al_ram_mem_c0_mode ),
+ .dpram_waddr(\UART_TX/FIFO/al_ram_mem_c0_waddr ),
+ .dpram_wclk(\UART_TX/FIFO/al_ram_mem_c0_wclk ),
+ .dpram_we(\UART_TX/FIFO/al_ram_mem_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("UART_TX/FIFO/al_ram_mem_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \UART_TX/FIFO/al_ram_mem_c0_m0 (
+ .a({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [0]}),
+ .b({\UART_TX/FIFO/rp [1],\UART_TX/FIFO/rp [1]}),
+ .c({\UART_TX/FIFO/rp [2],\UART_TX/FIFO/rp [2]}),
+ .d({\UART_TX/FIFO/rp [3],\UART_TX/FIFO/rp [3]}),
+ .dpram_di(\UART_TX/FIFO/al_ram_mem_c0_di [1:0]),
+ .dpram_mode(\UART_TX/FIFO/al_ram_mem_c0_mode ),
+ .dpram_waddr(\UART_TX/FIFO/al_ram_mem_c0_waddr ),
+ .dpram_wclk(\UART_TX/FIFO/al_ram_mem_c0_wclk ),
+ .dpram_we(\UART_TX/FIFO/al_ram_mem_c0_we ),
+ .f(\UART_TX/FIFOdata [1:0]));
+ EG_PHY_MSLICE #(
+ //.MACRO("UART_TX/FIFO/al_ram_mem_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \UART_TX/FIFO/al_ram_mem_c0_m1 (
+ .a({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [0]}),
+ .b({\UART_TX/FIFO/rp [1],\UART_TX/FIFO/rp [1]}),
+ .c({\UART_TX/FIFO/rp [2],\UART_TX/FIFO/rp [2]}),
+ .d({\UART_TX/FIFO/rp [3],\UART_TX/FIFO/rp [3]}),
+ .dpram_di(\UART_TX/FIFO/al_ram_mem_c0_di [3:2]),
+ .dpram_mode(\UART_TX/FIFO/al_ram_mem_c0_mode ),
+ .dpram_waddr(\UART_TX/FIFO/al_ram_mem_c0_waddr ),
+ .dpram_wclk(\UART_TX/FIFO/al_ram_mem_c0_wclk ),
+ .dpram_we(\UART_TX/FIFO/al_ram_mem_c0_we ),
+ .f(\UART_TX/FIFOdata [3:2]));
+ EG_PHY_LSLICE #(
+ //.MACRO("UART_TX/FIFO/al_ram_mem_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \UART_TX/FIFO/al_ram_mem_c1_l (
+ .a({UART_TX_data[4],\UART_TX/FIFO/wp [0]}),
+ .b({UART_TX_data[5],\UART_TX/FIFO/wp [1]}),
+ .c({UART_TX_data[6],\UART_TX/FIFO/wp [2]}),
+ .clk(clk_pad),
+ .d({UART_TX_data[7],\UART_TX/FIFO/wp [3]}),
+ .e({open_n2216,\UART_TX/FIFOwr_en }),
+ .dpram_di(\UART_TX/FIFO/al_ram_mem_c1_di ),
+ .dpram_mode(\UART_TX/FIFO/al_ram_mem_c1_mode ),
+ .dpram_waddr(\UART_TX/FIFO/al_ram_mem_c1_waddr ),
+ .dpram_wclk(\UART_TX/FIFO/al_ram_mem_c1_wclk ),
+ .dpram_we(\UART_TX/FIFO/al_ram_mem_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("UART_TX/FIFO/al_ram_mem_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \UART_TX/FIFO/al_ram_mem_c1_m0 (
+ .a({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [0]}),
+ .b({\UART_TX/FIFO/rp [1],\UART_TX/FIFO/rp [1]}),
+ .c({\UART_TX/FIFO/rp [2],\UART_TX/FIFO/rp [2]}),
+ .d({\UART_TX/FIFO/rp [3],\UART_TX/FIFO/rp [3]}),
+ .dpram_di(\UART_TX/FIFO/al_ram_mem_c1_di [1:0]),
+ .dpram_mode(\UART_TX/FIFO/al_ram_mem_c1_mode ),
+ .dpram_waddr(\UART_TX/FIFO/al_ram_mem_c1_waddr ),
+ .dpram_wclk(\UART_TX/FIFO/al_ram_mem_c1_wclk ),
+ .dpram_we(\UART_TX/FIFO/al_ram_mem_c1_we ),
+ .f(\UART_TX/FIFOdata [5:4]));
+ EG_PHY_MSLICE #(
+ //.MACRO("UART_TX/FIFO/al_ram_mem_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \UART_TX/FIFO/al_ram_mem_c1_m1 (
+ .a({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [0]}),
+ .b({\UART_TX/FIFO/rp [1],\UART_TX/FIFO/rp [1]}),
+ .c({\UART_TX/FIFO/rp [2],\UART_TX/FIFO/rp [2]}),
+ .d({\UART_TX/FIFO/rp [3],\UART_TX/FIFO/rp [3]}),
+ .dpram_di(\UART_TX/FIFO/al_ram_mem_c1_di [3:2]),
+ .dpram_mode(\UART_TX/FIFO/al_ram_mem_c1_mode ),
+ .dpram_waddr(\UART_TX/FIFO/al_ram_mem_c1_waddr ),
+ .dpram_wclk(\UART_TX/FIFO/al_ram_mem_c1_wclk ),
+ .dpram_we(\UART_TX/FIFO/al_ram_mem_c1_we ),
+ .f(\UART_TX/FIFOdata [7:6]));
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_TX/FIFO/r_flag_reg (
+ .ce(\UART_TX/FIFO/u13_sel_is_3_o ),
+ .clk(clk_pad),
+ .d(\UART_TX/FIFO/n19 ),
+ .sr(cpuresetn),
+ .q(\UART_TX/FIFO/r_flag )); // ../rtl/peripherals/FIFO.v(45)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_TX/FIFO/reg0_b0 (
+ .ce(\UART_TX/FIFOrd_en ),
+ .clk(clk_pad),
+ .d(\UART_TX/FIFO/n18 [0]),
+ .sr(cpuresetn),
+ .q(\UART_TX/FIFO/rp [0])); // ../rtl/peripherals/FIFO.v(45)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_TX/FIFO/reg0_b1 (
+ .ce(\UART_TX/FIFOrd_en ),
+ .clk(clk_pad),
+ .d(\UART_TX/FIFO/n17 [1]),
+ .sr(cpuresetn),
+ .q(\UART_TX/FIFO/rp [1])); // ../rtl/peripherals/FIFO.v(45)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_TX/FIFO/reg0_b2 (
+ .ce(\UART_TX/FIFOrd_en ),
+ .clk(clk_pad),
+ .d(\UART_TX/FIFO/n17 [2]),
+ .sr(cpuresetn),
+ .q(\UART_TX/FIFO/rp [2])); // ../rtl/peripherals/FIFO.v(45)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_TX/FIFO/reg0_b3 (
+ .ce(\UART_TX/FIFOrd_en ),
+ .clk(clk_pad),
+ .d(\UART_TX/FIFO/n17 [3]),
+ .sr(cpuresetn),
+ .q(\UART_TX/FIFO/rp [3])); // ../rtl/peripherals/FIFO.v(45)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_TX/FIFO/reg1_b0 (
+ .ce(\UART_TX/FIFOwr_en ),
+ .clk(clk_pad),
+ .d(\UART_TX/FIFO/n6 [0]),
+ .sr(cpuresetn),
+ .q(\UART_TX/FIFO/wp [0])); // ../rtl/peripherals/FIFO.v(29)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_TX/FIFO/reg1_b1 (
+ .ce(\UART_TX/FIFOwr_en ),
+ .clk(clk_pad),
+ .d(\UART_TX/FIFO/n5 [1]),
+ .sr(cpuresetn),
+ .q(\UART_TX/FIFO/wp [1])); // ../rtl/peripherals/FIFO.v(29)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_TX/FIFO/reg1_b2 (
+ .ce(\UART_TX/FIFOwr_en ),
+ .clk(clk_pad),
+ .d(\UART_TX/FIFO/n5 [2]),
+ .sr(cpuresetn),
+ .q(\UART_TX/FIFO/wp [2])); // ../rtl/peripherals/FIFO.v(29)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_TX/FIFO/reg1_b3 (
+ .ce(\UART_TX/FIFOwr_en ),
+ .clk(clk_pad),
+ .d(\UART_TX/FIFO/n5 [3]),
+ .sr(cpuresetn),
+ .q(\UART_TX/FIFO/wp [3])); // ../rtl/peripherals/FIFO.v(29)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \UART_TX/FIFO/w_flag_reg (
+ .ce(\UART_TX/FIFO/u7_sel_is_3_o ),
+ .clk(clk_pad),
+ .d(\UART_TX/FIFO/n7 ),
+ .sr(cpuresetn),
+ .q(\UART_TX/FIFO/w_flag )); // ../rtl/peripherals/FIFO.v(29)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_TX/TXD_reg (
+ .clk(clk_pad),
+ .d(\UART_TX/n14 ),
+ .sr(cpuresetn),
+ .q(TXD_pad)); // ../rtl/peripherals/UART_TX.v(65)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_TX/counter_en_reg (
+ .clk(clk_pad),
+ .d(\UART_TX/n4 ),
+ .sr(cpuresetn),
+ .q(bps_en_tx)); // ../rtl/peripherals/UART_TX.v(45)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_TX/reg0_b0 (
+ .ce(bps_en_tx),
+ .clk(clk_pad),
+ .d(\UART_TX/n8 [0]),
+ .sr(cpuresetn),
+ .q(\UART_TX/counter [0])); // ../rtl/peripherals/UART_TX.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_TX/reg0_b1 (
+ .ce(bps_en_tx),
+ .clk(clk_pad),
+ .d(\UART_TX/n8 [1]),
+ .sr(cpuresetn),
+ .q(\UART_TX/counter [1])); // ../rtl/peripherals/UART_TX.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_TX/reg0_b2 (
+ .ce(bps_en_tx),
+ .clk(clk_pad),
+ .d(\UART_TX/n8 [2]),
+ .sr(cpuresetn),
+ .q(\UART_TX/counter [2])); // ../rtl/peripherals/UART_TX.v(51)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \UART_TX/reg0_b3 (
+ .ce(bps_en_tx),
+ .clk(clk_pad),
+ .d(\UART_TX/n8 [3]),
+ .sr(cpuresetn),
+ .q(\UART_TX/counter [3])); // ../rtl/peripherals/UART_TX.v(51)
+ EG_PHY_PAD #(
+ //.LOCATION("F16"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u0 (
+ .do({open_n2253,open_n2254,open_n2255,LED_pad[7]}),
+ .opad(LED[7])); // ../rtl/topmodule/CortexM0_SoC.v(11)
+ EG_PHY_PAD #(
+ //.LOCATION("E16"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u1 (
+ .do({open_n2270,open_n2271,open_n2272,LED_pad[6]}),
+ .opad(LED[6])); // ../rtl/topmodule/CortexM0_SoC.v(11)
+ EG_PHY_PAD #(
+ //.LOCATION("M9"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u10 (
+ .do({open_n2287,open_n2288,open_n2289,MSI_SCLK_pad}),
+ .opad(MSI_SCLK)); // ../rtl/topmodule/CortexM0_SoC.v(17)
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u100 (
+ .a(\RAMDATA_Interface/size_reg [0]),
+ .b(\RAMDATA_Interface/wr_en_reg ),
+ .o(RAMDATA_WRITE[0]));
+ AL_MAP_LUT2 #(
+ .EQN("(B@A)"),
+ .INIT(4'h6))
+ _al_u101 (
+ .a(\SPI_TX/FIFO_SPI/rp [0]),
+ .b(\SPI_TX/FIFO_SPI/rp [1]),
+ .o(\SPI_TX/FIFO_SPI/n17 [1]));
+ AL_MAP_LUT2 #(
+ .EQN("(B@A)"),
+ .INIT(4'h6))
+ _al_u102 (
+ .a(\SPI_TX/FIFO_SPI/wp [0]),
+ .b(\SPI_TX/FIFO_SPI/wp [1]),
+ .o(\SPI_TX/FIFO_SPI/n5 [1]));
+ AL_MAP_LUT2 #(
+ .EQN("(B@A)"),
+ .INIT(4'h6))
+ _al_u103 (
+ .a(\UART_TX/FIFO/rp [0]),
+ .b(\UART_TX/FIFO/rp [1]),
+ .o(\UART_TX/FIFO/n17 [1]));
+ AL_MAP_LUT2 #(
+ .EQN("(B@A)"),
+ .INIT(4'h6))
+ _al_u104 (
+ .a(\UART_TX/FIFO/wp [0]),
+ .b(\UART_TX/FIFO/wp [1]),
+ .o(\UART_TX/FIFO/n5 [1]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u105 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[23]),
+ .o(SPI_TX_Data[23]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u106 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[22]),
+ .o(SPI_TX_Data[22]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u107 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[21]),
+ .o(SPI_TX_Data[21]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u108 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[20]),
+ .o(SPI_TX_Data[20]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u109 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[19]),
+ .o(SPI_TX_Data[19]));
+ EG_PHY_PAD #(
+ //.LOCATION("N9"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u11 (
+ .do({open_n2304,open_n2305,open_n2306,MSI_SDATA_pad}),
+ .opad(MSI_SDATA)); // ../rtl/topmodule/CortexM0_SoC.v(15)
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u110 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[18]),
+ .o(SPI_TX_Data[18]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u111 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[17]),
+ .o(SPI_TX_Data[17]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u112 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[16]),
+ .o(SPI_TX_Data[16]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u113 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[15]),
+ .o(SPI_TX_Data[15]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u114 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[14]),
+ .o(SPI_TX_Data[14]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u115 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[13]),
+ .o(SPI_TX_Data[13]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u116 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[12]),
+ .o(SPI_TX_Data[12]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u117 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[11]),
+ .o(SPI_TX_Data[11]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u118 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[10]),
+ .o(SPI_TX_Data[10]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u119 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[9]),
+ .o(SPI_TX_Data[9]));
+ EG_PHY_PAD #(
+ //.HYSTERESIS("OFF"),
+ //.LOCATION("A14"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("PULLUP"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("IN"),
+ .TSMUX("1"))
+ _al_u12 (
+ .ipad(RSTn),
+ .di(RSTn_pad)); // ../rtl/topmodule/CortexM0_SoC.v(8)
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u120 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[8]),
+ .o(SPI_TX_Data[8]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u121 (
+ .a(\UART_Interface/wr_en_reg ),
+ .b(HWDATA[7]),
+ .o(UART_TX_data[7]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u122 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[7]),
+ .o(SPI_TX_Data[7]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u123 (
+ .a(\UART_Interface/wr_en_reg ),
+ .b(HWDATA[6]),
+ .o(UART_TX_data[6]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u124 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[6]),
+ .o(SPI_TX_Data[6]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u125 (
+ .a(\UART_Interface/wr_en_reg ),
+ .b(HWDATA[5]),
+ .o(UART_TX_data[5]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u126 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[5]),
+ .o(SPI_TX_Data[5]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u127 (
+ .a(\UART_Interface/wr_en_reg ),
+ .b(HWDATA[4]),
+ .o(UART_TX_data[4]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u128 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[4]),
+ .o(SPI_TX_Data[4]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u129 (
+ .a(\UART_Interface/wr_en_reg ),
+ .b(HWDATA[3]),
+ .o(UART_TX_data[3]));
+ EG_PHY_PAD #(
+ //.HYSTERESIS("OFF"),
+ //.LOCATION("F12"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("PULLUP"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("IN"),
+ .TSMUX("1"))
+ _al_u13 (
+ .ipad(RXD),
+ .di(RXD_pad)); // ../rtl/topmodule/CortexM0_SoC.v(13)
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u130 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[3]),
+ .o(SPI_TX_Data[3]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u131 (
+ .a(\UART_Interface/wr_en_reg ),
+ .b(HWDATA[2]),
+ .o(UART_TX_data[2]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u132 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[2]),
+ .o(SPI_TX_Data[2]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u133 (
+ .a(\UART_Interface/wr_en_reg ),
+ .b(HWDATA[1]),
+ .o(UART_TX_data[1]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u134 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[1]),
+ .o(SPI_TX_Data[1]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u135 (
+ .a(\UART_Interface/wr_en_reg ),
+ .b(HWDATA[0]),
+ .o(UART_TX_data[0]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u136 (
+ .a(\SPI_Interface/wr_en_reg ),
+ .b(HWDATA[0]),
+ .o(SPI_TX_Data[0]));
+ AL_MAP_LUT3 #(
+ .EQN("(C@(B*A))"),
+ .INIT(8'h78))
+ _al_u137 (
+ .a(\SPI_TX/FIFO_SPI/rp [0]),
+ .b(\SPI_TX/FIFO_SPI/rp [1]),
+ .c(\SPI_TX/FIFO_SPI/rp [2]),
+ .o(\SPI_TX/FIFO_SPI/n17 [2]));
+ AL_MAP_LUT3 #(
+ .EQN("(C@(B*A))"),
+ .INIT(8'h78))
+ _al_u138 (
+ .a(\SPI_TX/FIFO_SPI/wp [0]),
+ .b(\SPI_TX/FIFO_SPI/wp [1]),
+ .c(\SPI_TX/FIFO_SPI/wp [2]),
+ .o(\SPI_TX/FIFO_SPI/n5 [2]));
+ AL_MAP_LUT3 #(
+ .EQN("(B@(C*A))"),
+ .INIT(8'h6c))
+ _al_u139 (
+ .a(\UART_RX/counter [0]),
+ .b(\UART_RX/counter [1]),
+ .c(clk_uart),
+ .o(\UART_RX/n9 [1]));
+ EG_PHY_PAD #(
+ //.HYSTERESIS("OFF"),
+ //.LOCATION("P2"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("PULLUP"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("BI"),
+ .TSMUX("INV"))
+ _al_u14 (
+ .do({open_n2355,open_n2356,open_n2357,SWDO}),
+ .ts(SWDOEN),
+ .di(SWDIO_pad),
+ .bpad(SWDIO)); // ../rtl/topmodule/CortexM0_SoC.v(36)
+ AL_MAP_LUT3 #(
+ .EQN("(C@(B*A))"),
+ .INIT(8'h78))
+ _al_u140 (
+ .a(\UART_TX/FIFO/rp [0]),
+ .b(\UART_TX/FIFO/rp [1]),
+ .c(\UART_TX/FIFO/rp [2]),
+ .o(\UART_TX/FIFO/n17 [2]));
+ AL_MAP_LUT3 #(
+ .EQN("(C@(B*A))"),
+ .INIT(8'h78))
+ _al_u141 (
+ .a(\UART_TX/FIFO/wp [0]),
+ .b(\UART_TX/FIFO/wp [1]),
+ .c(\UART_TX/FIFO/wp [2]),
+ .o(\UART_TX/FIFO/n5 [2]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u142 (
+ .a(\SPI_TX/MSI_clk_en ),
+ .b(clk_pad),
+ .o(MSI_SCLK_pad));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u143 (
+ .a(\filter_unit/key_reg1 [0]),
+ .b(\filter_unit/key_reg0 [0]),
+ .o(\filter_unit/n9 [0]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u144 (
+ .a(\filter_unit/key_reg1 [1]),
+ .b(\filter_unit/key_reg0 [1]),
+ .o(\filter_unit/n9 [1]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u145 (
+ .a(\filter_unit/key_reg1 [10]),
+ .b(\filter_unit/key_reg0 [10]),
+ .o(\filter_unit/n9 [10]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u146 (
+ .a(\filter_unit/key_reg1 [11]),
+ .b(\filter_unit/key_reg0 [11]),
+ .o(\filter_unit/n9 [11]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u147 (
+ .a(\filter_unit/key_reg1 [12]),
+ .b(\filter_unit/key_reg0 [12]),
+ .o(\filter_unit/n9 [12]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u148 (
+ .a(\filter_unit/key_reg1 [13]),
+ .b(\filter_unit/key_reg0 [13]),
+ .o(\filter_unit/n9 [13]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u149 (
+ .a(\filter_unit/key_reg1 [14]),
+ .b(\filter_unit/key_reg0 [14]),
+ .o(\filter_unit/n9 [14]));
+ EG_PHY_PAD #(
+ //.LOCATION("D12"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u15 (
+ .do({open_n2370,open_n2371,open_n2372,TXD_pad}),
+ .opad(TXD)); // ../rtl/topmodule/CortexM0_SoC.v(12)
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u150 (
+ .a(\filter_unit/key_reg1 [15]),
+ .b(\filter_unit/key_reg0 [15]),
+ .o(\filter_unit/n9 [15]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u151 (
+ .a(\filter_unit/key_reg1 [2]),
+ .b(\filter_unit/key_reg0 [2]),
+ .o(\filter_unit/n9 [2]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u152 (
+ .a(\filter_unit/key_reg1 [3]),
+ .b(\filter_unit/key_reg0 [3]),
+ .o(\filter_unit/n9 [3]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u153 (
+ .a(\filter_unit/key_reg1 [4]),
+ .b(\filter_unit/key_reg0 [4]),
+ .o(\filter_unit/n9 [4]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u154 (
+ .a(\filter_unit/key_reg1 [5]),
+ .b(\filter_unit/key_reg0 [5]),
+ .o(\filter_unit/n9 [5]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u155 (
+ .a(\filter_unit/key_reg1 [6]),
+ .b(\filter_unit/key_reg0 [6]),
+ .o(\filter_unit/n9 [6]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u156 (
+ .a(\filter_unit/key_reg1 [7]),
+ .b(\filter_unit/key_reg0 [7]),
+ .o(\filter_unit/n9 [7]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u157 (
+ .a(\filter_unit/key_reg1 [8]),
+ .b(\filter_unit/key_reg0 [8]),
+ .o(\filter_unit/n9 [8]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u158 (
+ .a(\filter_unit/key_reg1 [9]),
+ .b(\filter_unit/key_reg0 [9]),
+ .o(\filter_unit/n9 [9]));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*~B*~A)"),
+ .INIT(8'h01))
+ _al_u159 (
+ .a(\Interconncet/SlaveMUX/hsel_reg [0]),
+ .b(\Interconncet/SlaveMUX/hsel_reg [1]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [2]),
+ .o(_al_u159_o));
+ EG_PHY_PAD #(
+ //.LOCATION("N8"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u16 (
+ .do({open_n2387,open_n2388,open_n2389,audio_pwm_pad}),
+ .opad(audio_pwm)); // ../rtl/topmodule/CortexM0_SoC.v(18)
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u160 (
+ .a(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .b(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .o(_al_u160_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(C*B*A)"),
+ .INIT(8'h7f))
+ _al_u161 (
+ .a(_al_u159_o),
+ .b(_al_u160_o),
+ .c(\Interconncet/SlaveMUX/hsel_reg [3]),
+ .o(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ));
+ AL_MAP_LUT4 #(
+ .EQN("(C@(D*B*A))"),
+ .INIT(16'h78f0))
+ _al_u162 (
+ .a(\UART_RX/counter [0]),
+ .b(\UART_RX/counter [1]),
+ .c(\UART_RX/counter [2]),
+ .d(clk_uart),
+ .o(\UART_RX/n9 [2]));
+ AL_MAP_LUT4 #(
+ .EQN("(D@(C*B*A))"),
+ .INIT(16'h7f80))
+ _al_u163 (
+ .a(\UART_TX/FIFO/rp [0]),
+ .b(\UART_TX/FIFO/rp [1]),
+ .c(\UART_TX/FIFO/rp [2]),
+ .d(\UART_TX/FIFO/rp [3]),
+ .o(\UART_TX/FIFO/n17 [3]));
+ AL_MAP_LUT4 #(
+ .EQN("(D@(C*B*A))"),
+ .INIT(16'h7f80))
+ _al_u164 (
+ .a(\UART_TX/FIFO/wp [0]),
+ .b(\UART_TX/FIFO/wp [1]),
+ .c(\UART_TX/FIFO/wp [2]),
+ .d(\UART_TX/FIFO/wp [3]),
+ .o(\UART_TX/FIFO/n5 [3]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u165 (
+ .a(\UART_TX/counter [0]),
+ .b(\UART_TX/counter [1]),
+ .o(_al_u165_o));
+ AL_MAP_LUT3 #(
+ .EQN("(B@(C*A))"),
+ .INIT(8'h6c))
+ _al_u166 (
+ .a(_al_u165_o),
+ .b(\UART_TX/counter [2]),
+ .c(clk_uart),
+ .o(\UART_TX/n8 [2]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u167 (
+ .a(\filter_unit/n9 [0]),
+ .b(\pulse_gen_unit/key_reg_2 [0]),
+ .o(key_interrupt[0]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u168 (
+ .a(\filter_unit/n9 [1]),
+ .b(\pulse_gen_unit/key_reg_2 [1]),
+ .o(key_interrupt[1]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u169 (
+ .a(\filter_unit/n9 [10]),
+ .b(\pulse_gen_unit/key_reg_2 [10]),
+ .o(key_interrupt[10]));
+ EG_PHY_PAD #(
+ //.HYSTERESIS("OFF"),
+ //.LOCATION("R7"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("PULLUP"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("IN"),
+ .TSMUX("1"))
+ _al_u17 (
+ .ipad(clk),
+ .di(clk_pad)); // ../rtl/topmodule/CortexM0_SoC.v(7)
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u170 (
+ .a(\filter_unit/n9 [11]),
+ .b(\pulse_gen_unit/key_reg_2 [11]),
+ .o(key_interrupt[11]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u171 (
+ .a(\filter_unit/n9 [12]),
+ .b(\pulse_gen_unit/key_reg_2 [12]),
+ .o(key_interrupt[12]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u172 (
+ .a(\filter_unit/n9 [13]),
+ .b(\pulse_gen_unit/key_reg_2 [13]),
+ .o(key_interrupt[13]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u173 (
+ .a(\filter_unit/n9 [14]),
+ .b(\pulse_gen_unit/key_reg_2 [14]),
+ .o(key_interrupt[14]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u174 (
+ .a(\filter_unit/n9 [15]),
+ .b(\pulse_gen_unit/key_reg_2 [15]),
+ .o(key_interrupt[15]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u175 (
+ .a(\filter_unit/n9 [2]),
+ .b(\pulse_gen_unit/key_reg_2 [2]),
+ .o(key_interrupt[2]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u176 (
+ .a(\filter_unit/n9 [3]),
+ .b(\pulse_gen_unit/key_reg_2 [3]),
+ .o(key_interrupt[3]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u177 (
+ .a(\filter_unit/n9 [4]),
+ .b(\pulse_gen_unit/key_reg_2 [4]),
+ .o(key_interrupt[4]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u178 (
+ .a(\filter_unit/n9 [5]),
+ .b(\pulse_gen_unit/key_reg_2 [5]),
+ .o(key_interrupt[5]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u179 (
+ .a(\filter_unit/n9 [6]),
+ .b(\pulse_gen_unit/key_reg_2 [6]),
+ .o(key_interrupt[6]));
+ EG_PHY_PAD #(
+ //.HYSTERESIS("OFF"),
+ //.LOCATION("F10"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("PULLUP"),
+ .IOTYPE("LVTTL33"),
+ .MODE("IN"),
+ .TSMUX("1"))
+ _al_u18 (
+ .ipad(col[3]),
+ .di(col_pad[3])); // ../rtl/topmodule/CortexM0_SoC.v(21)
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u180 (
+ .a(\filter_unit/n9 [7]),
+ .b(\pulse_gen_unit/key_reg_2 [7]),
+ .o(key_interrupt[7]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u181 (
+ .a(\filter_unit/n9 [8]),
+ .b(\pulse_gen_unit/key_reg_2 [8]),
+ .o(key_interrupt[8]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u182 (
+ .a(\filter_unit/n9 [9]),
+ .b(\pulse_gen_unit/key_reg_2 [9]),
+ .o(key_interrupt[9]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~(~B*~A))"),
+ .INIT(16'h00e0))
+ _al_u183 (
+ .a(bps_en_rx),
+ .b(bps_en_tx),
+ .c(\clkuart_pwm/n4 [9]),
+ .d(\clkuart_pwm/n1 ),
+ .o(\clkuart_pwm/n5 [9]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~(~B*~A))"),
+ .INIT(16'h00e0))
+ _al_u184 (
+ .a(bps_en_rx),
+ .b(bps_en_tx),
+ .c(\clkuart_pwm/n4 [8]),
+ .d(\clkuart_pwm/n1 ),
+ .o(\clkuart_pwm/n5 [8]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~(~B*~A))"),
+ .INIT(16'h00e0))
+ _al_u185 (
+ .a(bps_en_rx),
+ .b(bps_en_tx),
+ .c(\clkuart_pwm/n4 [7]),
+ .d(\clkuart_pwm/n1 ),
+ .o(\clkuart_pwm/n5 [7]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~(~B*~A))"),
+ .INIT(16'h00e0))
+ _al_u186 (
+ .a(bps_en_rx),
+ .b(bps_en_tx),
+ .c(\clkuart_pwm/n4 [6]),
+ .d(\clkuart_pwm/n1 ),
+ .o(\clkuart_pwm/n5 [6]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~(~B*~A))"),
+ .INIT(16'h00e0))
+ _al_u187 (
+ .a(bps_en_rx),
+ .b(bps_en_tx),
+ .c(\clkuart_pwm/n4 [5]),
+ .d(\clkuart_pwm/n1 ),
+ .o(\clkuart_pwm/n5 [5]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~(~B*~A))"),
+ .INIT(16'h00e0))
+ _al_u188 (
+ .a(bps_en_rx),
+ .b(bps_en_tx),
+ .c(\clkuart_pwm/n4 [4]),
+ .d(\clkuart_pwm/n1 ),
+ .o(\clkuart_pwm/n5 [4]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~(~B*~A))"),
+ .INIT(16'h00e0))
+ _al_u189 (
+ .a(bps_en_rx),
+ .b(bps_en_tx),
+ .c(\clkuart_pwm/n4 [3]),
+ .d(\clkuart_pwm/n1 ),
+ .o(\clkuart_pwm/n5 [3]));
+ EG_PHY_PAD #(
+ //.HYSTERESIS("OFF"),
+ //.LOCATION("C11"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("PULLUP"),
+ .IOTYPE("LVTTL33"),
+ .MODE("IN"),
+ .TSMUX("1"))
+ _al_u19 (
+ .ipad(col[2]),
+ .di(col_pad[2])); // ../rtl/topmodule/CortexM0_SoC.v(21)
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~(~B*~A))"),
+ .INIT(16'h00e0))
+ _al_u190 (
+ .a(bps_en_rx),
+ .b(bps_en_tx),
+ .c(\clkuart_pwm/n4 [2]),
+ .d(\clkuart_pwm/n1 ),
+ .o(\clkuart_pwm/n5 [2]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~(~B*~A))"),
+ .INIT(16'h00e0))
+ _al_u191 (
+ .a(bps_en_rx),
+ .b(bps_en_tx),
+ .c(\clkuart_pwm/n4 [12]),
+ .d(\clkuart_pwm/n1 ),
+ .o(\clkuart_pwm/n5 [12]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~(~B*~A))"),
+ .INIT(16'h00e0))
+ _al_u192 (
+ .a(bps_en_rx),
+ .b(bps_en_tx),
+ .c(\clkuart_pwm/n4 [11]),
+ .d(\clkuart_pwm/n1 ),
+ .o(\clkuart_pwm/n5 [11]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~(~B*~A))"),
+ .INIT(16'h00e0))
+ _al_u193 (
+ .a(bps_en_rx),
+ .b(bps_en_tx),
+ .c(\clkuart_pwm/n4 [10]),
+ .d(\clkuart_pwm/n1 ),
+ .o(\clkuart_pwm/n5 [10]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~(~B*~A))"),
+ .INIT(16'h00e0))
+ _al_u194 (
+ .a(bps_en_rx),
+ .b(bps_en_tx),
+ .c(\clkuart_pwm/n4 [1]),
+ .d(\clkuart_pwm/n1 ),
+ .o(\clkuart_pwm/n5 [1]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~(~B*~A))"),
+ .INIT(16'h00e0))
+ _al_u195 (
+ .a(bps_en_rx),
+ .b(bps_en_tx),
+ .c(\clkuart_pwm/n4 [0]),
+ .d(\clkuart_pwm/n1 ),
+ .o(\clkuart_pwm/n5 [0]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u196 (
+ .a(row_pad[0]),
+ .b(row_pad[1]),
+ .o(_al_u196_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*A)"),
+ .INIT(8'h80))
+ _al_u197 (
+ .a(_al_u196_o),
+ .b(row_pad[2]),
+ .c(row_pad[3]),
+ .o(_al_u197_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*B*A)"),
+ .INIT(16'h0080))
+ _al_u198 (
+ .a(row_pad[0]),
+ .b(row_pad[1]),
+ .c(row_pad[2]),
+ .d(row_pad[3]),
+ .o(_al_u198_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~C*B*A)"),
+ .INIT(16'h0800))
+ _al_u199 (
+ .a(row_pad[0]),
+ .b(row_pad[1]),
+ .c(row_pad[2]),
+ .d(row_pad[3]),
+ .o(_al_u199_o));
+ EG_PHY_PAD #(
+ //.LOCATION("E13"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u2 (
+ .do({open_n2455,open_n2456,open_n2457,LED_pad[5]}),
+ .opad(LED[5])); // ../rtl/topmodule/CortexM0_SoC.v(11)
+ EG_PHY_PAD #(
+ //.HYSTERESIS("OFF"),
+ //.LOCATION("D11"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("PULLUP"),
+ .IOTYPE("LVTTL33"),
+ .MODE("IN"),
+ .TSMUX("1"))
+ _al_u20 (
+ .ipad(col[1]),
+ .di(col_pad[1])); // ../rtl/topmodule/CortexM0_SoC.v(21)
+ AL_MAP_LUT3 #(
+ .EQN("(~C*~B*~A)"),
+ .INIT(8'h01))
+ _al_u200 (
+ .a(_al_u197_o),
+ .b(_al_u198_o),
+ .c(_al_u199_o),
+ .o(_al_u200_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*~A)"),
+ .INIT(16'h4000))
+ _al_u201 (
+ .a(row_pad[0]),
+ .b(row_pad[1]),
+ .c(row_pad[2]),
+ .d(row_pad[3]),
+ .o(_al_u201_o));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u202 (
+ .a(_al_u200_o),
+ .b(_al_u201_o),
+ .c(col_pad[0]),
+ .d(key_in[0]),
+ .o(\scan_unit/n13 [0]));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u203 (
+ .a(_al_u200_o),
+ .b(_al_u201_o),
+ .c(col_pad[1]),
+ .d(key_in[1]),
+ .o(\scan_unit/n13 [1]));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*~B*~A)"),
+ .INIT(8'h01))
+ _al_u204 (
+ .a(_al_u197_o),
+ .b(_al_u198_o),
+ .c(_al_u201_o),
+ .o(_al_u204_o));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u205 (
+ .a(_al_u204_o),
+ .b(_al_u199_o),
+ .c(col_pad[2]),
+ .d(key_in[10]),
+ .o(\scan_unit/n13 [10]));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u206 (
+ .a(_al_u204_o),
+ .b(_al_u199_o),
+ .c(col_pad[3]),
+ .d(key_in[11]),
+ .o(\scan_unit/n13 [11]));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*~B*~A)"),
+ .INIT(8'h01))
+ _al_u207 (
+ .a(_al_u197_o),
+ .b(_al_u199_o),
+ .c(_al_u201_o),
+ .o(_al_u207_o));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u208 (
+ .a(_al_u207_o),
+ .b(_al_u198_o),
+ .c(col_pad[0]),
+ .d(key_in[12]),
+ .o(\scan_unit/n13 [12]));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u209 (
+ .a(_al_u207_o),
+ .b(_al_u198_o),
+ .c(col_pad[1]),
+ .d(key_in[13]),
+ .o(\scan_unit/n13 [13]));
+ EG_PHY_PAD #(
+ //.HYSTERESIS("OFF"),
+ //.LOCATION("E11"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("PULLUP"),
+ .IOTYPE("LVTTL33"),
+ .MODE("IN"),
+ .TSMUX("1"))
+ _al_u21 (
+ .ipad(col[0]),
+ .di(col_pad[0])); // ../rtl/topmodule/CortexM0_SoC.v(21)
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u210 (
+ .a(_al_u207_o),
+ .b(_al_u198_o),
+ .c(col_pad[2]),
+ .d(key_in[14]),
+ .o(\scan_unit/n13 [14]));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u211 (
+ .a(_al_u207_o),
+ .b(_al_u198_o),
+ .c(col_pad[3]),
+ .d(key_in[15]),
+ .o(\scan_unit/n13 [15]));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u212 (
+ .a(_al_u200_o),
+ .b(_al_u201_o),
+ .c(col_pad[2]),
+ .d(key_in[2]),
+ .o(\scan_unit/n13 [2]));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u213 (
+ .a(_al_u200_o),
+ .b(_al_u201_o),
+ .c(col_pad[3]),
+ .d(key_in[3]),
+ .o(\scan_unit/n13 [3]));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*~B*~A)"),
+ .INIT(8'h01))
+ _al_u214 (
+ .a(_al_u198_o),
+ .b(_al_u199_o),
+ .c(_al_u201_o),
+ .o(_al_u214_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*A)"),
+ .INIT(16'h8000))
+ _al_u215 (
+ .a(_al_u196_o),
+ .b(col_pad[0]),
+ .c(row_pad[2]),
+ .d(row_pad[3]),
+ .o(_al_u215_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(~B*~(C*~A))"),
+ .INIT(8'hdc))
+ _al_u216 (
+ .a(_al_u214_o),
+ .b(_al_u215_o),
+ .c(key_in[4]),
+ .o(\scan_unit/n13 [4]));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u217 (
+ .a(_al_u214_o),
+ .b(_al_u197_o),
+ .c(col_pad[1]),
+ .d(key_in[5]),
+ .o(\scan_unit/n13 [5]));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u218 (
+ .a(_al_u214_o),
+ .b(_al_u197_o),
+ .c(col_pad[2]),
+ .d(key_in[6]),
+ .o(\scan_unit/n13 [6]));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u219 (
+ .a(_al_u214_o),
+ .b(_al_u197_o),
+ .c(col_pad[3]),
+ .d(key_in[7]),
+ .o(\scan_unit/n13 [7]));
+ EG_PHY_PAD #(
+ //.LOCATION("D9"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVTTL33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u22 (
+ .do({open_n2506,open_n2507,open_n2508,row_pad[3]}),
+ .opad(row[3])); // ../rtl/topmodule/CortexM0_SoC.v(22)
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u220 (
+ .a(_al_u204_o),
+ .b(_al_u199_o),
+ .c(col_pad[0]),
+ .d(key_in[8]),
+ .o(\scan_unit/n13 [8]));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(D*~A))"),
+ .INIT(16'hd5c0))
+ _al_u221 (
+ .a(_al_u204_o),
+ .b(_al_u199_o),
+ .c(col_pad[1]),
+ .d(key_in[9]),
+ .o(\scan_unit/n13 [9]));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~B*~A)"),
+ .INIT(8'h10))
+ _al_u222 (
+ .a(\UART_RX/counter [1]),
+ .b(\UART_RX/counter [2]),
+ .c(\UART_RX/counter [3]),
+ .o(_al_u222_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u223 (
+ .a(_al_u222_o),
+ .b(\UART_RX/counter [0]),
+ .o(interrupt_UART));
+ AL_MAP_LUT4 #(
+ .EQN("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
+ .INIT(16'h8af8))
+ _al_u224 (
+ .a(HADDR[1]),
+ .b(HADDR[0]),
+ .c(HSIZE[1]),
+ .d(HSIZE[0]),
+ .o(\FMDATA_Interface/sel0_b3_sel_o ));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(~B*A)*~(D)*~(C)+~(~B*A)*D*~(C)+~(~(~B*A))*D*C+~(~B*A)*D*C)"),
+ .INIT(16'h02f2))
+ _al_u225 (
+ .a(HADDR[1]),
+ .b(HADDR[0]),
+ .c(HSIZE[1]),
+ .d(HSIZE[0]),
+ .o(\FMDATA_Interface/sel0_b2_sel_o ));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
+ .INIT(16'h45f4))
+ _al_u226 (
+ .a(HADDR[1]),
+ .b(HADDR[0]),
+ .c(HSIZE[1]),
+ .d(HSIZE[0]),
+ .o(\FMDATA_Interface/sel0_b1_sel_o ));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(~B*~A)*~(D)*~(C)+~(~B*~A)*D*~(C)+~(~(~B*~A))*D*C+~(~B*~A)*D*C)"),
+ .INIT(16'h01f1))
+ _al_u227 (
+ .a(HADDR[1]),
+ .b(HADDR[0]),
+ .c(HSIZE[1]),
+ .d(HSIZE[0]),
+ .o(\FMDATA_Interface/sel0_b0_sel_o ));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*~(~B*~A))"),
+ .INIT(8'h0e))
+ _al_u228 (
+ .a(_al_u159_o),
+ .b(_al_u160_o),
+ .c(\Interconncet/SlaveMUX/hsel_reg [3]),
+ .o(_al_u228_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
+ .INIT(16'h8cae))
+ _al_u229 (
+ .a(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .b(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .c(RAMCODE_RDATA[9]),
+ .d(RAMDATA_RDATA[9]),
+ .o(_al_u229_o));
+ EG_PHY_PAD #(
+ //.LOCATION("F9"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVTTL33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u23 (
+ .do({open_n2523,open_n2524,open_n2525,row_pad[2]}),
+ .opad(row[2])); // ../rtl/topmodule/CortexM0_SoC.v(22)
+ AL_MAP_LUT3 #(
+ .EQN("(~C*~B*A)"),
+ .INIT(8'h02))
+ _al_u230 (
+ .a(\Interconncet/SlaveMUX/hsel_reg [0]),
+ .b(\Interconncet/SlaveMUX/hsel_reg [1]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [2]),
+ .o(_al_u230_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~B*A*~(D*~(E*C)))"),
+ .INIT(32'h20220022))
+ _al_u231 (
+ .a(_al_u228_o),
+ .b(_al_u229_o),
+ .c(_al_u230_o),
+ .d(_al_u160_o),
+ .e(FMDATA_RDATA[9]),
+ .o(HRDATA[9]));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
+ .INIT(16'h8cae))
+ _al_u232 (
+ .a(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .b(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .c(RAMCODE_RDATA[8]),
+ .d(RAMDATA_RDATA[8]),
+ .o(_al_u232_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~B*A*~(D*~(E*C)))"),
+ .INIT(32'h20220022))
+ _al_u233 (
+ .a(_al_u228_o),
+ .b(_al_u232_o),
+ .c(_al_u230_o),
+ .d(_al_u160_o),
+ .e(FMDATA_RDATA[8]),
+ .o(HRDATA[8]));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
+ .INIT(16'h8cae))
+ _al_u234 (
+ .a(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .b(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .c(RAMCODE_RDATA[11]),
+ .d(RAMDATA_RDATA[11]),
+ .o(_al_u234_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~B*A*~(D*~(E*C)))"),
+ .INIT(32'h20220022))
+ _al_u235 (
+ .a(_al_u228_o),
+ .b(_al_u234_o),
+ .c(_al_u230_o),
+ .d(_al_u160_o),
+ .e(FMDATA_RDATA[11]),
+ .o(HRDATA[11]));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
+ .INIT(16'h8cae))
+ _al_u236 (
+ .a(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .b(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .c(RAMCODE_RDATA[10]),
+ .d(RAMDATA_RDATA[10]),
+ .o(_al_u236_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~B*A*~(D*~(E*C)))"),
+ .INIT(32'h20220022))
+ _al_u237 (
+ .a(_al_u228_o),
+ .b(_al_u236_o),
+ .c(_al_u230_o),
+ .d(_al_u160_o),
+ .e(FMDATA_RDATA[10]),
+ .o(HRDATA[10]));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
+ .INIT(16'h8cae))
+ _al_u238 (
+ .a(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .b(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .c(RAMCODE_RDATA[13]),
+ .d(RAMDATA_RDATA[13]),
+ .o(_al_u238_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u239 (
+ .a(_al_u238_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [1]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [2]),
+ .d(\Interconncet/SlaveMUX/hsel_reg [3]),
+ .o(_al_u239_o));
+ EG_PHY_PAD #(
+ //.LOCATION("C10"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVTTL33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u24 (
+ .do({open_n2540,open_n2541,open_n2542,row_pad[1]}),
+ .opad(row[1])); // ../rtl/topmodule/CortexM0_SoC.v(22)
+ AL_MAP_LUT4 #(
+ .EQN("(A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*D))"),
+ .INIT(16'h8022))
+ _al_u240 (
+ .a(_al_u239_o),
+ .b(_al_u160_o),
+ .c(FMDATA_RDATA[13]),
+ .d(\Interconncet/SlaveMUX/hsel_reg [0]),
+ .o(HRDATA[13]));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
+ .INIT(16'h8cae))
+ _al_u241 (
+ .a(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .b(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .c(RAMCODE_RDATA[12]),
+ .d(RAMDATA_RDATA[12]),
+ .o(_al_u241_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u242 (
+ .a(_al_u241_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [1]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [2]),
+ .d(\Interconncet/SlaveMUX/hsel_reg [3]),
+ .o(_al_u242_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*D))"),
+ .INIT(16'h8022))
+ _al_u243 (
+ .a(_al_u242_o),
+ .b(_al_u160_o),
+ .c(FMDATA_RDATA[12]),
+ .d(\Interconncet/SlaveMUX/hsel_reg [0]),
+ .o(HRDATA[12]));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
+ .INIT(16'h8cae))
+ _al_u244 (
+ .a(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .b(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .c(RAMCODE_RDATA[15]),
+ .d(RAMDATA_RDATA[15]),
+ .o(_al_u244_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~B*A*~(D*~(E*C)))"),
+ .INIT(32'h20220022))
+ _al_u245 (
+ .a(_al_u228_o),
+ .b(_al_u244_o),
+ .c(_al_u230_o),
+ .d(_al_u160_o),
+ .e(FMDATA_RDATA[15]),
+ .o(HRDATA[15]));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
+ .INIT(16'h8cae))
+ _al_u246 (
+ .a(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .b(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .c(RAMCODE_RDATA[14]),
+ .d(RAMDATA_RDATA[14]),
+ .o(_al_u246_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u247 (
+ .a(_al_u246_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [1]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [2]),
+ .d(\Interconncet/SlaveMUX/hsel_reg [3]),
+ .o(_al_u247_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*D))"),
+ .INIT(16'h8022))
+ _al_u248 (
+ .a(_al_u247_o),
+ .b(_al_u160_o),
+ .c(FMDATA_RDATA[14]),
+ .d(\Interconncet/SlaveMUX/hsel_reg [0]),
+ .o(HRDATA[14]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u249 (
+ .a(_al_u159_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [3]),
+ .o(_al_u249_o));
+ EG_PHY_PAD #(
+ //.LOCATION("E10"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVTTL33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u25 (
+ .do({open_n2557,open_n2558,open_n2559,row_pad[0]}),
+ .opad(row[0])); // ../rtl/topmodule/CortexM0_SoC.v(22)
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u250 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[17]),
+ .e(RAMDATA_RDATA[17]),
+ .o(HRDATA[17]));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
+ .INIT(16'h8cae))
+ _al_u251 (
+ .a(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .b(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .c(RAMCODE_RDATA[16]),
+ .d(RAMDATA_RDATA[16]),
+ .o(_al_u251_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~B*A*~(D*~(E*C)))"),
+ .INIT(32'h20220022))
+ _al_u252 (
+ .a(_al_u228_o),
+ .b(_al_u251_o),
+ .c(_al_u230_o),
+ .d(_al_u160_o),
+ .e(FMDATA_RDATA[16]),
+ .o(HRDATA[16]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u253 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[19]),
+ .e(RAMDATA_RDATA[19]),
+ .o(HRDATA[19]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u254 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[18]),
+ .e(RAMDATA_RDATA[18]),
+ .o(HRDATA[18]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u255 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[21]),
+ .e(RAMDATA_RDATA[21]),
+ .o(HRDATA[21]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u256 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[20]),
+ .e(RAMDATA_RDATA[20]),
+ .o(HRDATA[20]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u257 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[23]),
+ .e(RAMDATA_RDATA[23]),
+ .o(HRDATA[23]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u258 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[22]),
+ .e(RAMDATA_RDATA[22]),
+ .o(HRDATA[22]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u259 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[25]),
+ .e(RAMDATA_RDATA[25]),
+ .o(HRDATA[25]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u260 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[24]),
+ .e(RAMDATA_RDATA[24]),
+ .o(HRDATA[24]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u261 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[27]),
+ .e(RAMDATA_RDATA[27]),
+ .o(HRDATA[27]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u262 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[26]),
+ .e(RAMDATA_RDATA[26]),
+ .o(HRDATA[26]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u263 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[29]),
+ .e(RAMDATA_RDATA[29]),
+ .o(HRDATA[29]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u264 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[28]),
+ .e(RAMDATA_RDATA[28]),
+ .o(HRDATA[28]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u265 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[31]),
+ .e(RAMDATA_RDATA[31]),
+ .o(HRDATA[31]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*C*D*~(E)+B*~(C)*~(D)*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h28082000))
+ _al_u266 (
+ .a(_al_u249_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[30]),
+ .e(RAMDATA_RDATA[30]),
+ .o(HRDATA[30]));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*A)"),
+ .INIT(8'h80))
+ _al_u267 (
+ .a(\SPI_TX/FIFO_SPI/rp [0]),
+ .b(\SPI_TX/FIFO_SPI/rp [1]),
+ .c(\SPI_TX/FIFO_SPI/rp [2]),
+ .o(\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ));
+ AL_MAP_LUT3 #(
+ .EQN("(A*B*~(C)+~(A)*~(B)*C+~(A)*B*C)"),
+ .INIT(8'h58))
+ _al_u268 (
+ .a(\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ),
+ .b(\SPI_TX/FIFO_SPI/rp [3]),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(\SPI_TX/FIFO_SPI/n18 [4]));
+ AL_MAP_LUT3 #(
+ .EQN("(A*~(B)*~(C)+~(A)*B*~(C)+~(A)*B*C)"),
+ .INIT(8'h46))
+ _al_u269 (
+ .a(\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ),
+ .b(\SPI_TX/FIFO_SPI/rp [3]),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(\SPI_TX/FIFO_SPI/n18 [3]));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*A)"),
+ .INIT(8'h80))
+ _al_u270 (
+ .a(\SPI_TX/FIFO_SPI/wp [0]),
+ .b(\SPI_TX/FIFO_SPI/wp [1]),
+ .c(\SPI_TX/FIFO_SPI/wp [2]),
+ .o(\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ));
+ AL_MAP_LUT3 #(
+ .EQN("(A*B*~(C)+~(A)*~(B)*C+~(A)*B*C)"),
+ .INIT(8'h58))
+ _al_u271 (
+ .a(\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ),
+ .b(\SPI_TX/FIFO_SPI/wp [3]),
+ .c(\SPI_TX/FIFO_SPI/wp [4]),
+ .o(\SPI_TX/FIFO_SPI/n6 [4]));
+ AL_MAP_LUT3 #(
+ .EQN("(A*~(B)*~(C)+~(A)*B*~(C)+~(A)*B*C)"),
+ .INIT(8'h46))
+ _al_u272 (
+ .a(\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ),
+ .b(\SPI_TX/FIFO_SPI/wp [3]),
+ .c(\SPI_TX/FIFO_SPI/wp [4]),
+ .o(\SPI_TX/FIFO_SPI/n6 [3]));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*A)"),
+ .INIT(16'h8000))
+ _al_u273 (
+ .a(\UART_RX/counter [0]),
+ .b(\UART_RX/counter [1]),
+ .c(\UART_RX/counter [2]),
+ .d(clk_uart),
+ .o(_al_u273_o));
+ AL_MAP_LUT4 #(
+ .EQN("(B*~(C)*~((~D*A))+~(B)*C*~((~D*A))+B*~(C)*(~D*A))"),
+ .INIT(16'h3c1c))
+ _al_u274 (
+ .a(interrupt_UART),
+ .b(_al_u273_o),
+ .c(\UART_RX/counter [3]),
+ .d(clk_uart),
+ .o(\UART_RX/n9 [3]));
+ AL_MAP_LUT3 #(
+ .EQN("(~(A)*B*~(C)+~(A)*~(B)*C+A*~(B)*C)"),
+ .INIT(8'h34))
+ _al_u275 (
+ .a(_al_u222_o),
+ .b(\UART_RX/counter [0]),
+ .c(clk_uart),
+ .o(\UART_RX/n9 [0]));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
+ .INIT(16'hacf0))
+ _al_u276 (
+ .a(\UART_TX/FIFOdata [6]),
+ .b(\UART_TX/FIFOdata [4]),
+ .c(\UART_TX/counter [1]),
+ .d(\UART_TX/counter [0]),
+ .o(_al_u276_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
+ .INIT(16'h0f35))
+ _al_u277 (
+ .a(\UART_TX/FIFOdata [3]),
+ .b(\UART_TX/FIFOdata [5]),
+ .c(_al_u276_o),
+ .d(\UART_TX/counter [0]),
+ .o(_al_u277_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E)"),
+ .INIT(32'h55330fff))
+ _al_u278 (
+ .a(\UART_TX/FIFOdata [2]),
+ .b(\UART_TX/FIFOdata [1]),
+ .c(\UART_TX/FIFOdata [0]),
+ .d(\UART_TX/counter [0]),
+ .e(\UART_TX/counter [1]),
+ .o(_al_u278_o));
+ AL_MAP_LUT3 #(
+ .EQN("(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)"),
+ .INIT(8'hac))
+ _al_u279 (
+ .a(_al_u277_o),
+ .b(_al_u278_o),
+ .c(\UART_TX/counter [2]),
+ .o(_al_u279_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~(~B*~A))"),
+ .INIT(8'he0))
+ _al_u280 (
+ .a(\UART_TX/FIFOdata [7]),
+ .b(\UART_TX/counter [0]),
+ .c(\UART_TX/counter [3]),
+ .o(_al_u280_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~(C*~(~B*~A)))"),
+ .INIT(16'h1f00))
+ _al_u281 (
+ .a(\UART_TX/counter [1]),
+ .b(\UART_TX/counter [2]),
+ .c(\UART_TX/counter [3]),
+ .d(clk_uart),
+ .o(\UART_TX/n11 ));
+ AL_MAP_LUT5 #(
+ .EQN("~(E*(~D*~((~B*A))*~(C)+~D*(~B*A)*~(C)+~(~D)*(~B*A)*C+~D*(~B*A)*C))"),
+ .INIT(32'hdfd0ffff))
+ _al_u282 (
+ .a(_al_u279_o),
+ .b(_al_u280_o),
+ .c(\UART_TX/n11 ),
+ .d(TXD_pad),
+ .e(bps_en_tx),
+ .o(\UART_TX/n14 ));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D)"),
+ .INIT(16'h78d0))
+ _al_u283 (
+ .a(_al_u165_o),
+ .b(\UART_TX/counter [2]),
+ .c(\UART_TX/counter [3]),
+ .d(clk_uart),
+ .o(\UART_TX/n8 [3]));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~B*A)"),
+ .INIT(8'h20))
+ _al_u284 (
+ .a(_al_u165_o),
+ .b(\UART_TX/counter [2]),
+ .c(\UART_TX/counter [3]),
+ .o(\UART_TX/trans_finish_lutinv ));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*C*~((D*B))+~(A)*~(C)*(D*B)+A*~(C)*(D*B))"),
+ .INIT(16'h1c50))
+ _al_u285 (
+ .a(\UART_TX/trans_finish_lutinv ),
+ .b(\UART_TX/counter [0]),
+ .c(\UART_TX/counter [1]),
+ .d(clk_uart),
+ .o(\UART_TX/n8 [1]));
+ AL_MAP_LUT3 #(
+ .EQN("(~A*(C@B))"),
+ .INIT(8'h14))
+ _al_u286 (
+ .a(\UART_TX/trans_finish_lutinv ),
+ .b(\UART_TX/counter [0]),
+ .c(clk_uart),
+ .o(\UART_TX/n8 [0]));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~C*B*A)"),
+ .INIT(16'h0800))
+ _al_u287 (
+ .a(\clkuart_pwm/cnt [3]),
+ .b(\clkuart_pwm/cnt [4]),
+ .c(\clkuart_pwm/cnt [5]),
+ .d(\clkuart_pwm/cnt [6]),
+ .o(_al_u287_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*B*A)"),
+ .INIT(16'h0008))
+ _al_u288 (
+ .a(_al_u287_o),
+ .b(\clkuart_pwm/cnt [7]),
+ .c(\clkuart_pwm/cnt [8]),
+ .d(\clkuart_pwm/cnt [9]),
+ .o(_al_u288_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u289 (
+ .a(\clkuart_pwm/cnt [10]),
+ .b(\clkuart_pwm/cnt [11]),
+ .c(\clkuart_pwm/cnt [12]),
+ .d(\clkuart_pwm/cnt [2]),
+ .o(_al_u289_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*B*A)"),
+ .INIT(16'h0080))
+ _al_u290 (
+ .a(_al_u288_o),
+ .b(_al_u289_o),
+ .c(\clkuart_pwm/cnt [0]),
+ .d(\clkuart_pwm/cnt [1]),
+ .o(\clkuart_pwm/n6 ));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u291 (
+ .a(HADDR[24]),
+ .b(HADDR[23]),
+ .c(HADDR[22]),
+ .d(HADDR[21]),
+ .o(_al_u291_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*A)"),
+ .INIT(16'h0002))
+ _al_u292 (
+ .a(_al_u291_o),
+ .b(HADDR[20]),
+ .c(HADDR[19]),
+ .d(HADDR[18]),
+ .o(_al_u292_o));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*~B*A)"),
+ .INIT(8'h02))
+ _al_u293 (
+ .a(_al_u292_o),
+ .b(HADDR[28]),
+ .c(HADDR[17]),
+ .o(_al_u293_o));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*~B*~A)"),
+ .INIT(8'h01))
+ _al_u294 (
+ .a(HADDR[27]),
+ .b(HADDR[26]),
+ .c(HADDR[25]),
+ .o(_al_u294_o));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u295 (
+ .a(_al_u294_o),
+ .b(HADDR[29]),
+ .o(_al_u295_o));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*~B*~A)"),
+ .INIT(8'h01))
+ _al_u296 (
+ .a(HADDR[31]),
+ .b(HADDR[30]),
+ .c(HADDR[16]),
+ .o(_al_u296_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*A)"),
+ .INIT(8'h80))
+ _al_u297 (
+ .a(_al_u293_o),
+ .b(_al_u295_o),
+ .c(_al_u296_o),
+ .o(HSEL_P0));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~B*~A)"),
+ .INIT(16'h0010))
+ _al_u298 (
+ .a(\SPI_TX/counter [10]),
+ .b(\SPI_TX/counter [3]),
+ .c(\SPI_TX/counter [4]),
+ .d(\SPI_TX/counter [8]),
+ .o(_al_u298_o));
+ AL_MAP_LUT5 #(
+ .EQN("(E*D*C*B*A)"),
+ .INIT(32'h80000000))
+ _al_u299 (
+ .a(_al_u298_o),
+ .b(\SPI_TX/counter [11]),
+ .c(\SPI_TX/counter [12]),
+ .d(\SPI_TX/counter [13]),
+ .e(\SPI_TX/counter [5]),
+ .o(_al_u299_o));
+ EG_PHY_PAD #(
+ //.LOCATION("C16"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u3 (
+ .do({open_n2574,open_n2575,open_n2576,LED_pad[4]}),
+ .opad(LED[4])); // ../rtl/topmodule/CortexM0_SoC.v(11)
+ AL_MAP_LUT3 #(
+ .EQN("(~C*~B*~A)"),
+ .INIT(8'h01))
+ _al_u300 (
+ .a(\SPI_TX/counter [0]),
+ .b(\SPI_TX/counter [1]),
+ .c(\SPI_TX/counter [2]),
+ .o(_al_u300_o));
+ AL_MAP_LUT5 #(
+ .EQN("(E*D*~C*B*A)"),
+ .INIT(32'h08000000))
+ _al_u301 (
+ .a(_al_u299_o),
+ .b(_al_u300_o),
+ .c(\SPI_TX/counter [6]),
+ .d(\SPI_TX/counter [7]),
+ .e(\SPI_TX/counter [9]),
+ .o(\SPI_TX/trans_finish_lutinv ));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u302 (
+ .a(\SPI_TX/trans_finish_lutinv ),
+ .b(\SPI_TX/n31 [9]),
+ .c(\SPI_TX/count_en ),
+ .d(\SPI_TX/counter [9]),
+ .o(\SPI_TX/n33 [9]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u303 (
+ .a(\SPI_TX/trans_finish_lutinv ),
+ .b(\SPI_TX/n31 [8]),
+ .c(\SPI_TX/count_en ),
+ .d(\SPI_TX/counter [8]),
+ .o(\SPI_TX/n33 [8]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u304 (
+ .a(\SPI_TX/trans_finish_lutinv ),
+ .b(\SPI_TX/n31 [7]),
+ .c(\SPI_TX/count_en ),
+ .d(\SPI_TX/counter [7]),
+ .o(\SPI_TX/n33 [7]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u305 (
+ .a(\SPI_TX/trans_finish_lutinv ),
+ .b(\SPI_TX/n31 [6]),
+ .c(\SPI_TX/count_en ),
+ .d(\SPI_TX/counter [6]),
+ .o(\SPI_TX/n33 [6]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u306 (
+ .a(\SPI_TX/trans_finish_lutinv ),
+ .b(\SPI_TX/n31 [5]),
+ .c(\SPI_TX/count_en ),
+ .d(\SPI_TX/counter [5]),
+ .o(\SPI_TX/n33 [5]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u307 (
+ .a(\SPI_TX/trans_finish_lutinv ),
+ .b(\SPI_TX/n31 [4]),
+ .c(\SPI_TX/count_en ),
+ .d(\SPI_TX/counter [4]),
+ .o(\SPI_TX/n33 [4]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u308 (
+ .a(\SPI_TX/trans_finish_lutinv ),
+ .b(\SPI_TX/n31 [3]),
+ .c(\SPI_TX/count_en ),
+ .d(\SPI_TX/counter [3]),
+ .o(\SPI_TX/n33 [3]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u309 (
+ .a(\SPI_TX/trans_finish_lutinv ),
+ .b(\SPI_TX/n31 [2]),
+ .c(\SPI_TX/count_en ),
+ .d(\SPI_TX/counter [2]),
+ .o(\SPI_TX/n33 [2]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u310 (
+ .a(\SPI_TX/trans_finish_lutinv ),
+ .b(\SPI_TX/n31 [13]),
+ .c(\SPI_TX/count_en ),
+ .d(\SPI_TX/counter [13]),
+ .o(\SPI_TX/n33 [13]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u311 (
+ .a(\SPI_TX/trans_finish_lutinv ),
+ .b(\SPI_TX/n31 [12]),
+ .c(\SPI_TX/count_en ),
+ .d(\SPI_TX/counter [12]),
+ .o(\SPI_TX/n33 [12]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u312 (
+ .a(\SPI_TX/trans_finish_lutinv ),
+ .b(\SPI_TX/n31 [11]),
+ .c(\SPI_TX/count_en ),
+ .d(\SPI_TX/counter [11]),
+ .o(\SPI_TX/n33 [11]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u313 (
+ .a(\SPI_TX/trans_finish_lutinv ),
+ .b(\SPI_TX/n31 [10]),
+ .c(\SPI_TX/count_en ),
+ .d(\SPI_TX/counter [10]),
+ .o(\SPI_TX/n33 [10]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u314 (
+ .a(\SPI_TX/trans_finish_lutinv ),
+ .b(\SPI_TX/n31 [1]),
+ .c(\SPI_TX/count_en ),
+ .d(\SPI_TX/counter [1]),
+ .o(\SPI_TX/n33 [1]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u315 (
+ .a(\SPI_TX/trans_finish_lutinv ),
+ .b(\SPI_TX/n31 [0]),
+ .c(\SPI_TX/count_en ),
+ .d(\SPI_TX/counter [0]),
+ .o(\SPI_TX/n33 [0]));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*A)"),
+ .INIT(8'h80))
+ _al_u316 (
+ .a(bps_en_rx),
+ .b(\UART_RX/counter [0]),
+ .c(clk_uart),
+ .o(_al_u316_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*(B@A))"),
+ .INIT(16'h0060))
+ _al_u317 (
+ .a(\UART_RX/counter [0]),
+ .b(\UART_RX/counter [1]),
+ .c(\UART_RX/counter [2]),
+ .d(\UART_RX/counter [3]),
+ .o(_al_u317_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u318 (
+ .a(_al_u316_o),
+ .b(_al_u317_o),
+ .o(\UART_RX/mux5_b4_sel_is_3_o ));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~B*A)"),
+ .INIT(8'h20))
+ _al_u319 (
+ .a(bps_en_rx),
+ .b(\UART_RX/counter [0]),
+ .c(clk_uart),
+ .o(_al_u319_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u320 (
+ .a(_al_u319_o),
+ .b(_al_u317_o),
+ .o(\UART_RX/mux5_b5_sel_is_3_o ));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*B*A)"),
+ .INIT(8'h08))
+ _al_u321 (
+ .a(_al_u273_o),
+ .b(bps_en_rx),
+ .c(\UART_RX/counter [3]),
+ .o(\UART_RX/mux5_b6_sel_is_3_o ));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~C*B*A)"),
+ .INIT(16'h0800))
+ _al_u322 (
+ .a(_al_u222_o),
+ .b(bps_en_rx),
+ .c(\UART_RX/counter [0]),
+ .d(clk_uart),
+ .o(\UART_RX/mux5_b7_sel_is_3_o ));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*(B@A))"),
+ .INIT(16'h0006))
+ _al_u323 (
+ .a(\UART_RX/counter [0]),
+ .b(\UART_RX/counter [1]),
+ .c(\UART_RX/counter [2]),
+ .d(\UART_RX/counter [3]),
+ .o(_al_u323_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u324 (
+ .a(_al_u316_o),
+ .b(_al_u323_o),
+ .o(\UART_RX/mux5_b0_sel_is_3_o ));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*(A*B*~(C)+~(A)*~(B)*C))"),
+ .INIT(16'h0018))
+ _al_u325 (
+ .a(\UART_RX/counter [0]),
+ .b(\UART_RX/counter [1]),
+ .c(\UART_RX/counter [2]),
+ .d(\UART_RX/counter [3]),
+ .o(_al_u325_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u326 (
+ .a(_al_u319_o),
+ .b(_al_u325_o),
+ .o(\UART_RX/mux5_b3_sel_is_3_o ));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u327 (
+ .a(_al_u316_o),
+ .b(_al_u325_o),
+ .o(\UART_RX/mux5_b2_sel_is_3_o ));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u328 (
+ .a(_al_u319_o),
+ .b(_al_u323_o),
+ .o(\UART_RX/mux5_b1_sel_is_3_o ));
+ AL_MAP_LUT4 #(
+ .EQN("(~(D@B)*~(C@A))"),
+ .INIT(16'h8421))
+ _al_u329 (
+ .a(\UART_TX/FIFO/rp [0]),
+ .b(\UART_TX/FIFO/rp [1]),
+ .c(\UART_TX/FIFO/wp [0]),
+ .d(\UART_TX/FIFO/wp [1]),
+ .o(_al_u329_o));
+ AL_MAP_LUT5 #(
+ .EQN("(A*~(E@C)*~(D@B))"),
+ .INIT(32'h80200802))
+ _al_u330 (
+ .a(_al_u329_o),
+ .b(\UART_TX/FIFO/rp [2]),
+ .c(\UART_TX/FIFO/rp [3]),
+ .d(\UART_TX/FIFO/wp [2]),
+ .e(\UART_TX/FIFO/wp [3]),
+ .o(\UART_TX/FIFO/n25_lutinv ));
+ AL_MAP_LUT3 #(
+ .EQN("(A*~(C@B))"),
+ .INIT(8'h82))
+ _al_u331 (
+ .a(\UART_TX/FIFO/n25_lutinv ),
+ .b(\UART_TX/FIFO/r_flag ),
+ .c(\UART_TX/FIFO/w_flag ),
+ .o(_al_u331_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u332 (
+ .a(_al_u331_o),
+ .b(\UART_TX/trans_finish_lutinv ),
+ .o(\UART_TX/FIFOrd_en ));
+ AL_MAP_LUT3 #(
+ .EQN("(A*(C@B))"),
+ .INIT(8'h28))
+ _al_u333 (
+ .a(\UART_TX/FIFO/n25_lutinv ),
+ .b(\UART_TX/FIFO/r_flag ),
+ .c(\UART_TX/FIFO/w_flag ),
+ .o(_al_u333_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u334 (
+ .a(_al_u333_o),
+ .b(\UART_Interface/wr_en_reg ),
+ .o(\UART_TX/FIFOwr_en ));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*A)"),
+ .INIT(16'h8000))
+ _al_u335 (
+ .a(\filter_unit/cnt [16]),
+ .b(\filter_unit/cnt [17]),
+ .c(\filter_unit/cnt [18]),
+ .d(\filter_unit/cnt [19]),
+ .o(_al_u335_o));
+ AL_MAP_LUT5 #(
+ .EQN("(E*D*C*B*A)"),
+ .INIT(32'h80000000))
+ _al_u336 (
+ .a(_al_u335_o),
+ .b(\filter_unit/cnt [2]),
+ .c(\filter_unit/cnt [3]),
+ .d(\filter_unit/cnt [4]),
+ .e(\filter_unit/cnt [5]),
+ .o(_al_u336_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~B*~A)"),
+ .INIT(16'h0010))
+ _al_u337 (
+ .a(\filter_unit/cnt [12]),
+ .b(\filter_unit/cnt [13]),
+ .c(\filter_unit/cnt [14]),
+ .d(\filter_unit/cnt [15]),
+ .o(_al_u337_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*B*A)"),
+ .INIT(16'h0008))
+ _al_u338 (
+ .a(\filter_unit/cnt [0]),
+ .b(\filter_unit/cnt [1]),
+ .c(\filter_unit/cnt [10]),
+ .d(\filter_unit/cnt [11]),
+ .o(_al_u338_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~C*~B*~A)"),
+ .INIT(16'h0100))
+ _al_u339 (
+ .a(\filter_unit/cnt [6]),
+ .b(\filter_unit/cnt [7]),
+ .c(\filter_unit/cnt [8]),
+ .d(\filter_unit/cnt [9]),
+ .o(_al_u339_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*A)"),
+ .INIT(16'h8000))
+ _al_u340 (
+ .a(_al_u336_o),
+ .b(_al_u337_o),
+ .c(_al_u338_o),
+ .d(_al_u339_o),
+ .o(\filter_unit/n3 ));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u341 (
+ .a(\scan_unit/cnt [12]),
+ .b(\scan_unit/cnt [13]),
+ .c(\scan_unit/cnt [14]),
+ .d(\scan_unit/cnt [15]),
+ .o(_al_u341_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~C*B*A)"),
+ .INIT(16'h0800))
+ _al_u342 (
+ .a(\scan_unit/cnt [0]),
+ .b(\scan_unit/cnt [1]),
+ .c(\scan_unit/cnt [10]),
+ .d(\scan_unit/cnt [11]),
+ .o(_al_u342_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u343 (
+ .a(\scan_unit/cnt [2]),
+ .b(\scan_unit/cnt [20]),
+ .c(\scan_unit/cnt [21]),
+ .d(\scan_unit/cnt [22]),
+ .o(_al_u343_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u344 (
+ .a(\scan_unit/cnt [16]),
+ .b(\scan_unit/cnt [17]),
+ .c(\scan_unit/cnt [18]),
+ .d(\scan_unit/cnt [19]),
+ .o(_al_u344_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*A)"),
+ .INIT(16'h8000))
+ _al_u345 (
+ .a(_al_u341_o),
+ .b(_al_u342_o),
+ .c(_al_u343_o),
+ .d(_al_u344_o),
+ .o(_al_u345_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u346 (
+ .a(\scan_unit/cnt [30]),
+ .b(\scan_unit/cnt [31]),
+ .c(\scan_unit/cnt [4]),
+ .d(\scan_unit/cnt [5]),
+ .o(_al_u346_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~E*D*C*B*A)"),
+ .INIT(32'h00008000))
+ _al_u347 (
+ .a(_al_u346_o),
+ .b(\scan_unit/cnt [6]),
+ .c(\scan_unit/cnt [7]),
+ .d(\scan_unit/cnt [8]),
+ .e(\scan_unit/cnt [9]),
+ .o(_al_u347_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u348 (
+ .a(\scan_unit/cnt [27]),
+ .b(\scan_unit/cnt [28]),
+ .c(\scan_unit/cnt [29]),
+ .d(\scan_unit/cnt [3]),
+ .o(_al_u348_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u349 (
+ .a(\scan_unit/cnt [23]),
+ .b(\scan_unit/cnt [24]),
+ .c(\scan_unit/cnt [25]),
+ .d(\scan_unit/cnt [26]),
+ .o(_al_u349_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*A)"),
+ .INIT(16'h8000))
+ _al_u350 (
+ .a(_al_u345_o),
+ .b(_al_u347_o),
+ .c(_al_u348_o),
+ .d(_al_u349_o),
+ .o(\scan_unit/n0 ));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*A)"),
+ .INIT(8'h80))
+ _al_u351 (
+ .a(_al_u293_o),
+ .b(_al_u294_o),
+ .c(HADDR[29]),
+ .o(_al_u351_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~B*A)"),
+ .INIT(8'h20))
+ _al_u352 (
+ .a(_al_u351_o),
+ .b(HADDR[31]),
+ .c(HADDR[30]),
+ .o(HSEL_P5));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u353 (
+ .a(_al_u351_o),
+ .b(_al_u296_o),
+ .o(HSEL_P1));
+ AL_MAP_LUT4 #(
+ .EQN("(~(D@B)*~(C@A))"),
+ .INIT(16'h8421))
+ _al_u354 (
+ .a(\SPI_TX/FIFO_SPI/rp [2]),
+ .b(\SPI_TX/FIFO_SPI/rp [4]),
+ .c(\SPI_TX/FIFO_SPI/wp [2]),
+ .d(\SPI_TX/FIFO_SPI/wp [4]),
+ .o(_al_u354_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(D@B)*~(C@A))"),
+ .INIT(16'h8421))
+ _al_u355 (
+ .a(\SPI_TX/FIFO_SPI/rp [0]),
+ .b(\SPI_TX/FIFO_SPI/rp [1]),
+ .c(\SPI_TX/FIFO_SPI/wp [0]),
+ .d(\SPI_TX/FIFO_SPI/wp [1]),
+ .o(_al_u355_o));
+ AL_MAP_LUT4 #(
+ .EQN("(B*A*~(D@C))"),
+ .INIT(16'h8008))
+ _al_u356 (
+ .a(_al_u354_o),
+ .b(_al_u355_o),
+ .c(\SPI_TX/FIFO_SPI/rp [3]),
+ .d(\SPI_TX/FIFO_SPI/wp [3]),
+ .o(\SPI_TX/FIFO_SPI/n25_lutinv ));
+ AL_MAP_LUT3 #(
+ .EQN("(A*~(C@B))"),
+ .INIT(8'h82))
+ _al_u357 (
+ .a(\SPI_TX/FIFO_SPI/n25_lutinv ),
+ .b(\SPI_TX/FIFO_SPI/r_flag ),
+ .c(\SPI_TX/FIFO_SPI/w_flag ),
+ .o(_al_u357_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u358 (
+ .a(_al_u357_o),
+ .b(\SPI_TX/trans_finish_lutinv ),
+ .o(\SPI_TX/FIFOrd_en ));
+ AL_MAP_LUT4 #(
+ .EQN("(B*~(A*(D@C)))"),
+ .INIT(16'hc44c))
+ _al_u359 (
+ .a(\SPI_TX/FIFO_SPI/n25_lutinv ),
+ .b(\SPI_Interface/wr_en_reg ),
+ .c(\SPI_TX/FIFO_SPI/r_flag ),
+ .d(\SPI_TX/FIFO_SPI/w_flag ),
+ .o(\SPI_TX/FIFOwr_en ));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u360 (
+ .a(\UART_RX/shift_reg [4]),
+ .b(\UART_RX/shift_reg [5]),
+ .c(\UART_RX/shift_reg [6]),
+ .d(\UART_RX/shift_reg [7]),
+ .o(_al_u360_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*A)"),
+ .INIT(16'h8000))
+ _al_u361 (
+ .a(\UART_RX/shift_reg [0]),
+ .b(\UART_RX/shift_reg [1]),
+ .c(\UART_RX/shift_reg [2]),
+ .d(\UART_RX/shift_reg [3]),
+ .o(_al_u361_o));
+ AL_MAP_LUT4 #(
+ .EQN("~(~(C*B)*~(A)*~(D)+~(C*B)*A*~(D)+~(~(C*B))*A*D+~(C*B)*A*D)"),
+ .INIT(16'h55c0))
+ _al_u362 (
+ .a(interrupt_UART),
+ .b(_al_u360_o),
+ .c(_al_u361_o),
+ .d(bps_en_rx),
+ .o(\UART_RX/n6 ));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'h35))
+ _al_u363 (
+ .a(_al_u331_o),
+ .b(\UART_TX/trans_finish_lutinv ),
+ .c(bps_en_tx),
+ .o(\UART_TX/n4 ));
+ AL_MAP_LUT5 #(
+ .EQN("(E*D*C*B*A)"),
+ .INIT(32'h80000000))
+ _al_u364 (
+ .a(\UART_TX/FIFOrd_en ),
+ .b(\UART_TX/FIFO/rp [0]),
+ .c(\UART_TX/FIFO/rp [1]),
+ .d(\UART_TX/FIFO/rp [2]),
+ .e(\UART_TX/FIFO/rp [3]),
+ .o(\UART_TX/FIFO/u13_sel_is_3_o ));
+ AL_MAP_LUT5 #(
+ .EQN("(E*D*C*B*A)"),
+ .INIT(32'h80000000))
+ _al_u365 (
+ .a(\UART_TX/FIFOwr_en ),
+ .b(\UART_TX/FIFO/wp [0]),
+ .c(\UART_TX/FIFO/wp [1]),
+ .d(\UART_TX/FIFO/wp [2]),
+ .e(\UART_TX/FIFO/wp [3]),
+ .o(\UART_TX/FIFO/u7_sel_is_3_o ));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u366 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [9]),
+ .o(\filter_unit/n1 [9]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u367 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [8]),
+ .o(\filter_unit/n1 [8]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u368 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [7]),
+ .o(\filter_unit/n1 [7]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u369 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [6]),
+ .o(\filter_unit/n1 [6]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u370 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [5]),
+ .o(\filter_unit/n1 [5]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u371 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [4]),
+ .o(\filter_unit/n1 [4]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u372 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [3]),
+ .o(\filter_unit/n1 [3]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u373 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [2]),
+ .o(\filter_unit/n1 [2]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u374 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [19]),
+ .o(\filter_unit/n1 [19]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u375 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [18]),
+ .o(\filter_unit/n1 [18]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u376 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [17]),
+ .o(\filter_unit/n1 [17]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u377 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [16]),
+ .o(\filter_unit/n1 [16]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u378 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [15]),
+ .o(\filter_unit/n1 [15]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u379 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [14]),
+ .o(\filter_unit/n1 [14]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u380 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [13]),
+ .o(\filter_unit/n1 [13]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u381 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [12]),
+ .o(\filter_unit/n1 [12]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u382 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [11]),
+ .o(\filter_unit/n1 [11]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u383 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [10]),
+ .o(\filter_unit/n1 [10]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u384 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [1]),
+ .o(\filter_unit/n1 [1]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u385 (
+ .a(\filter_unit/n3 ),
+ .b(\filter_unit/n0 [0]),
+ .o(\filter_unit/n1 [0]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u386 (
+ .a(HADDR[11]),
+ .b(HADDR[10]),
+ .c(HADDR[9]),
+ .d(HADDR[8]),
+ .o(_al_u386_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*A)"),
+ .INIT(16'h0002))
+ _al_u387 (
+ .a(_al_u386_o),
+ .b(HADDR[7]),
+ .c(HADDR[6]),
+ .d(HADDR[5]),
+ .o(_al_u387_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u388 (
+ .a(HADDR[15]),
+ .b(HADDR[14]),
+ .c(HADDR[13]),
+ .d(HADDR[12]),
+ .o(_al_u388_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*B*A)"),
+ .INIT(16'h0008))
+ _al_u389 (
+ .a(_al_u387_o),
+ .b(_al_u388_o),
+ .c(HADDR[17]),
+ .d(HADDR[16]),
+ .o(_al_u389_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u390 (
+ .a(_al_u389_o),
+ .b(HADDR[4]),
+ .o(_al_u390_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~C*B*A)"),
+ .INIT(16'h0800))
+ _al_u391 (
+ .a(_al_u292_o),
+ .b(_al_u295_o),
+ .c(HADDR[31]),
+ .d(HADDR[30]),
+ .o(_al_u391_o));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u392 (
+ .a(_al_u391_o),
+ .b(HADDR[28]),
+ .o(_al_u392_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u393 (
+ .a(_al_u390_o),
+ .b(_al_u392_o),
+ .o(HSEL_P3));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*B*A)"),
+ .INIT(8'h08))
+ _al_u394 (
+ .a(_al_u392_o),
+ .b(_al_u389_o),
+ .c(HADDR[4]),
+ .o(HSEL_P2));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*A)"),
+ .INIT(8'h80))
+ _al_u395 (
+ .a(_al_u390_o),
+ .b(_al_u391_o),
+ .c(HADDR[28]),
+ .o(HSEL_P4));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u396 (
+ .a(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
+ .b(HTRANS[1]),
+ .o(_al_u396_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u397 (
+ .a(HSEL_P0),
+ .b(_al_u396_o),
+ .o(\RAMCODE_Interface/n15 ));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'h35))
+ _al_u398 (
+ .a(_al_u357_o),
+ .b(\SPI_TX/trans_finish_lutinv ),
+ .c(\SPI_TX/count_en ),
+ .o(\SPI_TX/n24 ));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~C*B*A)"),
+ .INIT(16'h0800))
+ _al_u399 (
+ .a(\SPI_TX/FIFOrd_en ),
+ .b(\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ),
+ .c(\SPI_TX/FIFO_SPI/rp [3]),
+ .d(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(\SPI_TX/FIFO_SPI/u13_sel_is_3_o ));
+ EG_PHY_PAD #(
+ //.LOCATION("C15"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u4 (
+ .do({open_n2591,open_n2592,open_n2593,LED_pad[3]}),
+ .opad(LED[3])); // ../rtl/topmodule/CortexM0_SoC.v(11)
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u400 (
+ .a(\SPI_TX/FIFOwr_en ),
+ .b(\SPI_TX/FIFO_SPI/wp [4]),
+ .o(\SPI_TX/FIFO_SPI/n2_1 ));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*B*A)"),
+ .INIT(8'h08))
+ _al_u401 (
+ .a(\SPI_TX/FIFO_SPI/n2_1 ),
+ .b(\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ),
+ .c(\SPI_TX/FIFO_SPI/wp [3]),
+ .o(\SPI_TX/FIFO_SPI/u7_sel_is_3_o ));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u402 (
+ .a(\SPI_TX/FIFOwr_en ),
+ .b(\SPI_TX/FIFO_SPI/wp [4]),
+ .o(\SPI_TX/FIFO_SPI/n2_0 ));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~C*B*A)"),
+ .INIT(16'h0800))
+ _al_u403 (
+ .a(_al_u351_o),
+ .b(_al_u396_o),
+ .c(HADDR[31]),
+ .d(HADDR[30]),
+ .o(\FMDATA_Interface/n15 ));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*A)"),
+ .INIT(8'h80))
+ _al_u404 (
+ .a(_al_u351_o),
+ .b(_al_u396_o),
+ .c(_al_u296_o),
+ .o(\RAMDATA_Interface/n15 ));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u405 (
+ .a(_al_u396_o),
+ .b(HWRITE),
+ .o(_al_u405_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u406 (
+ .a(HSEL_P0),
+ .b(_al_u405_o),
+ .o(\RAMCODE_Interface/n10 ));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~C*B*A)"),
+ .INIT(16'h0800))
+ _al_u407 (
+ .a(_al_u351_o),
+ .b(_al_u405_o),
+ .c(HADDR[31]),
+ .d(HADDR[30]),
+ .o(\FMDATA_Interface/n10 ));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*A)"),
+ .INIT(8'h80))
+ _al_u408 (
+ .a(_al_u351_o),
+ .b(_al_u405_o),
+ .c(_al_u296_o),
+ .o(\RAMDATA_Interface/n10 ));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*~B*~A)"),
+ .INIT(16'h1000))
+ _al_u409 (
+ .a(\Interconncet/SlaveMUX/hsel_reg [0]),
+ .b(\Interconncet/SlaveMUX/hsel_reg [1]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [2]),
+ .d(\UART_Interface/rd_en_reg ),
+ .o(_al_u409_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*A)"),
+ .INIT(16'h0002))
+ _al_u410 (
+ .a(_al_u409_o),
+ .b(\UART_Interface/addr_reg [0]),
+ .c(\UART_Interface/addr_reg [1]),
+ .d(\UART_Interface/addr_reg [3]),
+ .o(_al_u410_o));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u411 (
+ .a(_al_u410_o),
+ .b(\UART_Interface/addr_reg [2]),
+ .o(_al_u411_o));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h2a0a2202))
+ _al_u412 (
+ .a(_al_u228_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[7]),
+ .e(RAMDATA_RDATA[7]),
+ .o(_al_u412_o));
+ AL_MAP_LUT3 #(
+ .EQN("(B*~(C*A))"),
+ .INIT(8'h4c))
+ _al_u413 (
+ .a(_al_u230_o),
+ .b(_al_u160_o),
+ .c(FMDATA_RDATA[7]),
+ .o(_al_u413_o));
+ AL_MAP_LUT4 #(
+ .EQN("(B*~(C*~(D*A)))"),
+ .INIT(16'h8c0c))
+ _al_u414 (
+ .a(_al_u411_o),
+ .b(_al_u412_o),
+ .c(_al_u413_o),
+ .d(UART_RX_data[7]),
+ .o(HRDATA[7]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h2a0a2202))
+ _al_u415 (
+ .a(_al_u228_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[6]),
+ .e(RAMDATA_RDATA[6]),
+ .o(_al_u415_o));
+ AL_MAP_LUT3 #(
+ .EQN("(B*~(C*A))"),
+ .INIT(8'h4c))
+ _al_u416 (
+ .a(_al_u230_o),
+ .b(_al_u160_o),
+ .c(FMDATA_RDATA[6]),
+ .o(_al_u416_o));
+ AL_MAP_LUT4 #(
+ .EQN("(B*~(C*~(D*A)))"),
+ .INIT(16'h8c0c))
+ _al_u417 (
+ .a(_al_u411_o),
+ .b(_al_u415_o),
+ .c(_al_u416_o),
+ .d(UART_RX_data[6]),
+ .o(HRDATA[6]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h2a0a2202))
+ _al_u418 (
+ .a(_al_u228_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[5]),
+ .e(RAMDATA_RDATA[5]),
+ .o(_al_u418_o));
+ AL_MAP_LUT3 #(
+ .EQN("(B*~(C*A))"),
+ .INIT(8'h4c))
+ _al_u419 (
+ .a(_al_u230_o),
+ .b(_al_u160_o),
+ .c(FMDATA_RDATA[5]),
+ .o(_al_u419_o));
+ AL_MAP_LUT4 #(
+ .EQN("(B*~(C*~(D*A)))"),
+ .INIT(16'h8c0c))
+ _al_u420 (
+ .a(_al_u411_o),
+ .b(_al_u418_o),
+ .c(_al_u419_o),
+ .d(UART_RX_data[5]),
+ .o(HRDATA[5]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h2a0a2202))
+ _al_u421 (
+ .a(_al_u228_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[4]),
+ .e(RAMDATA_RDATA[4]),
+ .o(_al_u421_o));
+ AL_MAP_LUT3 #(
+ .EQN("(B*~(C*A))"),
+ .INIT(8'h4c))
+ _al_u422 (
+ .a(_al_u230_o),
+ .b(_al_u160_o),
+ .c(FMDATA_RDATA[4]),
+ .o(_al_u422_o));
+ AL_MAP_LUT4 #(
+ .EQN("(B*~(C*~(D*A)))"),
+ .INIT(16'h8c0c))
+ _al_u423 (
+ .a(_al_u411_o),
+ .b(_al_u421_o),
+ .c(_al_u422_o),
+ .d(UART_RX_data[4]),
+ .o(HRDATA[4]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h2a0a2202))
+ _al_u424 (
+ .a(_al_u228_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[3]),
+ .e(RAMDATA_RDATA[3]),
+ .o(_al_u424_o));
+ AL_MAP_LUT3 #(
+ .EQN("(B*~(C*A))"),
+ .INIT(8'h4c))
+ _al_u425 (
+ .a(_al_u230_o),
+ .b(_al_u160_o),
+ .c(FMDATA_RDATA[3]),
+ .o(_al_u425_o));
+ AL_MAP_LUT4 #(
+ .EQN("(B*~(C*~(D*A)))"),
+ .INIT(16'h8c0c))
+ _al_u426 (
+ .a(_al_u411_o),
+ .b(_al_u424_o),
+ .c(_al_u425_o),
+ .d(UART_RX_data[3]),
+ .o(HRDATA[3]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h2a0a2202))
+ _al_u427 (
+ .a(_al_u228_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[2]),
+ .e(RAMDATA_RDATA[2]),
+ .o(_al_u427_o));
+ AL_MAP_LUT3 #(
+ .EQN("(B*~(C*A))"),
+ .INIT(8'h4c))
+ _al_u428 (
+ .a(_al_u230_o),
+ .b(_al_u160_o),
+ .c(FMDATA_RDATA[2]),
+ .o(_al_u428_o));
+ AL_MAP_LUT4 #(
+ .EQN("(B*~(C*~(D*A)))"),
+ .INIT(16'h8c0c))
+ _al_u429 (
+ .a(_al_u411_o),
+ .b(_al_u427_o),
+ .c(_al_u428_o),
+ .d(UART_RX_data[2]),
+ .o(HRDATA[2]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*~(C)*~(D)*~(E)+~(B)*~(C)*D*~(E)+~(B)*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*~(C)*D*E+B*~(C)*D*E+~(B)*C*D*E))"),
+ .INIT(32'h2a0a2202))
+ _al_u430 (
+ .a(_al_u228_o),
+ .b(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .c(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .d(RAMCODE_RDATA[1]),
+ .e(RAMDATA_RDATA[1]),
+ .o(_al_u430_o));
+ AL_MAP_LUT3 #(
+ .EQN("(B*~(C*A))"),
+ .INIT(8'h4c))
+ _al_u431 (
+ .a(_al_u230_o),
+ .b(_al_u160_o),
+ .c(FMDATA_RDATA[1]),
+ .o(_al_u431_o));
+ AL_MAP_LUT4 #(
+ .EQN("(B*~(C*~(D*A)))"),
+ .INIT(16'h8c0c))
+ _al_u432 (
+ .a(_al_u411_o),
+ .b(_al_u430_o),
+ .c(_al_u431_o),
+ .d(UART_RX_data[1]),
+ .o(HRDATA[1]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*B*A)"),
+ .INIT(16'h0080))
+ _al_u433 (
+ .a(_al_u390_o),
+ .b(_al_u392_o),
+ .c(_al_u396_o),
+ .d(HWRITE),
+ .o(\UART_Interface/read_en ));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*A)"),
+ .INIT(16'h8000))
+ _al_u434 (
+ .a(_al_u390_o),
+ .b(_al_u392_o),
+ .c(_al_u396_o),
+ .d(HWRITE),
+ .o(\UART_Interface/write_en ));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*A)"),
+ .INIT(16'h8000))
+ _al_u435 (
+ .a(_al_u390_o),
+ .b(_al_u405_o),
+ .c(_al_u391_o),
+ .d(HADDR[28]),
+ .o(\SPI_Interface/write_en ));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*A)"),
+ .INIT(8'h80))
+ _al_u436 (
+ .a(_al_u390_o),
+ .b(_al_u392_o),
+ .c(_al_u396_o),
+ .o(\UART_Interface/n5 ));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*~B*~A)"),
+ .INIT(8'h01))
+ _al_u437 (
+ .a(\SPI_TX/counter [13]),
+ .b(\SPI_TX/counter [7]),
+ .c(\SPI_TX/counter [9]),
+ .o(_al_u437_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~E*~D*~C*~B*A)"),
+ .INIT(32'h00000002))
+ _al_u438 (
+ .a(_al_u437_o),
+ .b(\SPI_TX/counter [10]),
+ .c(\SPI_TX/counter [11]),
+ .d(\SPI_TX/counter [12]),
+ .e(\SPI_TX/counter [8]),
+ .o(_al_u438_o));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u439 (
+ .a(\SPI_TX/counter [1]),
+ .b(\SPI_TX/counter [2]),
+ .o(_al_u439_o));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u440 (
+ .a(\SPI_TX/counter [5]),
+ .b(\SPI_TX/counter [6]),
+ .o(_al_u440_o));
+ AL_MAP_LUT5 #(
+ .EQN("(C*A*~(E*D*~B))"),
+ .INIT(32'h80a0a0a0))
+ _al_u441 (
+ .a(_al_u438_o),
+ .b(_al_u439_o),
+ .c(_al_u440_o),
+ .d(\SPI_TX/counter [3]),
+ .e(\SPI_TX/counter [4]),
+ .o(_al_u441_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~B*A)"),
+ .INIT(8'h20))
+ _al_u442 (
+ .a(_al_u440_o),
+ .b(\SPI_TX/counter [3]),
+ .c(\SPI_TX/counter [4]),
+ .o(_al_u442_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*A)"),
+ .INIT(16'h0002))
+ _al_u443 (
+ .a(\SPI_TX/counter [3]),
+ .b(\SPI_TX/counter [4]),
+ .c(\SPI_TX/counter [5]),
+ .d(\SPI_TX/counter [6]),
+ .o(_al_u443_o));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u444 (
+ .a(_al_u442_o),
+ .b(_al_u443_o),
+ .o(_al_u444_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*A)"),
+ .INIT(16'h8000))
+ _al_u445 (
+ .a(_al_u300_o),
+ .b(_al_u440_o),
+ .c(\SPI_TX/counter [3]),
+ .d(\SPI_TX/counter [4]),
+ .o(_al_u445_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~C*B*A)"),
+ .INIT(16'h0800))
+ _al_u446 (
+ .a(_al_u441_o),
+ .b(_al_u444_o),
+ .c(_al_u445_o),
+ .d(_al_u439_o),
+ .o(_al_u446_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hf151))
+ _al_u447 (
+ .a(_al_u446_o),
+ .b(_al_u441_o),
+ .c(MSI_CS_pad),
+ .d(\SPI_TX/counter [0]),
+ .o(\SPI_TX/n104 ));
+ AL_MAP_LUT4 #(
+ .EQN("(B*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C))"),
+ .INIT(16'h8c80))
+ _al_u448 (
+ .a(_al_u333_o),
+ .b(_al_u410_o),
+ .c(\UART_Interface/addr_reg [2]),
+ .d(UART_RX_data[0]),
+ .o(_al_u448_o));
+ AL_MAP_LUT3 #(
+ .EQN("(B*~(C*A))"),
+ .INIT(8'h4c))
+ _al_u449 (
+ .a(_al_u230_o),
+ .b(_al_u160_o),
+ .c(FMDATA_RDATA[0]),
+ .o(_al_u449_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
+ .INIT(16'h8cae))
+ _al_u450 (
+ .a(\Interconncet/SlaveMUX/hsel_reg [4]),
+ .b(\Interconncet/SlaveMUX/hsel_reg [5]),
+ .c(RAMCODE_RDATA[0]),
+ .d(RAMDATA_RDATA[0]),
+ .o(_al_u450_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*B*~(C*~A))"),
+ .INIT(16'h008c))
+ _al_u451 (
+ .a(_al_u448_o),
+ .b(_al_u228_o),
+ .c(_al_u449_o),
+ .d(_al_u450_o),
+ .o(HRDATA[0]));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~B*A)"),
+ .INIT(8'h20))
+ _al_u452 (
+ .a(\SPI_TX/counter [0]),
+ .b(\SPI_TX/counter [1]),
+ .c(\SPI_TX/counter [2]),
+ .o(_al_u452_o));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*B*A)"),
+ .INIT(8'h08))
+ _al_u453 (
+ .a(\SPI_TX/counter [0]),
+ .b(\SPI_TX/counter [1]),
+ .c(\SPI_TX/counter [2]),
+ .o(_al_u453_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'h35))
+ _al_u454 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_005 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_005 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u454_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'h35))
+ _al_u455 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_003 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_003 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u455_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(~C*B)*~(~D*A))"),
+ .INIT(16'hf351))
+ _al_u456 (
+ .a(_al_u452_o),
+ .b(_al_u453_o),
+ .c(_al_u454_o),
+ .d(_al_u455_o),
+ .o(_al_u456_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~B*~A)"),
+ .INIT(8'h10))
+ _al_u457 (
+ .a(\SPI_TX/counter [0]),
+ .b(\SPI_TX/counter [1]),
+ .c(\SPI_TX/counter [2]),
+ .o(_al_u457_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
+ .INIT(16'ha088))
+ _al_u458 (
+ .a(_al_u457_o),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_004 ),
+ .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_004 ),
+ .d(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u458_o));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*~B*A)"),
+ .INIT(8'h02))
+ _al_u459 (
+ .a(\SPI_TX/counter [0]),
+ .b(\SPI_TX/counter [1]),
+ .c(\SPI_TX/counter [2]),
+ .o(_al_u459_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
+ .INIT(16'ha088))
+ _al_u460 (
+ .a(_al_u459_o),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_007 ),
+ .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_007 ),
+ .d(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u460_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*~A)"),
+ .INIT(8'h40))
+ _al_u461 (
+ .a(\SPI_TX/counter [0]),
+ .b(\SPI_TX/counter [1]),
+ .c(\SPI_TX/counter [2]),
+ .o(_al_u461_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
+ .INIT(16'ha088))
+ _al_u462 (
+ .a(_al_u461_o),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_002 ),
+ .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_002 ),
+ .d(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u462_o));
+ AL_MAP_LUT5 #(
+ .EQN("(E*~(~D*~C*~B*A))"),
+ .INIT(32'hfffd0000))
+ _al_u463 (
+ .a(_al_u456_o),
+ .b(_al_u458_o),
+ .c(_al_u460_o),
+ .d(_al_u462_o),
+ .e(_al_u442_o),
+ .o(_al_u463_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
+ .INIT(16'ha088))
+ _al_u464 (
+ .a(_al_u453_o),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_013 ),
+ .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_013 ),
+ .d(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u464_o));
+ AL_MAP_LUT3 #(
+ .EQN("(~C*B*~A)"),
+ .INIT(8'h04))
+ _al_u465 (
+ .a(\SPI_TX/counter [0]),
+ .b(\SPI_TX/counter [1]),
+ .c(\SPI_TX/counter [2]),
+ .o(_al_u465_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
+ .INIT(16'ha088))
+ _al_u466 (
+ .a(_al_u465_o),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_014 ),
+ .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_014 ),
+ .d(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u466_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*A)"),
+ .INIT(8'h80))
+ _al_u467 (
+ .a(\SPI_TX/counter [0]),
+ .b(\SPI_TX/counter [1]),
+ .c(\SPI_TX/counter [2]),
+ .o(_al_u467_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
+ .INIT(16'ha088))
+ _al_u468 (
+ .a(_al_u467_o),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_009 ),
+ .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_009 ),
+ .d(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u468_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
+ .INIT(16'ha088))
+ _al_u469 (
+ .a(_al_u452_o),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_011 ),
+ .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_011 ),
+ .d(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u469_o));
+ AL_MAP_LUT5 #(
+ .EQN("(E*~(~D*~C*~B*~A))"),
+ .INIT(32'hfffe0000))
+ _al_u470 (
+ .a(_al_u464_o),
+ .b(_al_u466_o),
+ .c(_al_u468_o),
+ .d(_al_u469_o),
+ .e(_al_u443_o),
+ .o(_al_u470_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'h35))
+ _al_u471 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_019 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_019 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u471_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'h35))
+ _al_u472 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_020 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_020 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u472_o));
+ AL_MAP_LUT5 #(
+ .EQN("(E*~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
+ .INIT(32'h00530000))
+ _al_u473 (
+ .a(_al_u471_o),
+ .b(_al_u472_o),
+ .c(\SPI_TX/counter [0]),
+ .d(\SPI_TX/counter [1]),
+ .e(\SPI_TX/counter [2]),
+ .o(_al_u473_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'h35))
+ _al_u474 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_024 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_024 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u474_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'h35))
+ _al_u475 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_022 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_022 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u475_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~E*~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
+ .INIT(32'h00000305))
+ _al_u476 (
+ .a(_al_u474_o),
+ .b(_al_u475_o),
+ .c(\SPI_TX/counter [0]),
+ .d(\SPI_TX/counter [1]),
+ .e(\SPI_TX/counter [2]),
+ .o(_al_u476_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u477 (
+ .a(\SPI_TX/counter [3]),
+ .b(\SPI_TX/counter [4]),
+ .c(\SPI_TX/counter [5]),
+ .d(\SPI_TX/counter [6]),
+ .o(_al_u477_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~(~B*~A))"),
+ .INIT(8'he0))
+ _al_u478 (
+ .a(_al_u473_o),
+ .b(_al_u476_o),
+ .c(_al_u477_o),
+ .o(_al_u478_o));
+ AL_MAP_LUT5 #(
+ .EQN("(B*A*(C*~(D)*~(E)+C*D*~(E)+~(C)*D*E+C*D*E))"),
+ .INIT(32'h88008080))
+ _al_u479 (
+ .a(_al_u477_o),
+ .b(_al_u453_o),
+ .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_021 ),
+ .d(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_021 ),
+ .e(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u479_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
+ .INIT(16'ha088))
+ _al_u480 (
+ .a(_al_u300_o),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_016 ),
+ .c(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_016 ),
+ .d(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u480_o));
+ AL_MAP_LUT3 #(
+ .EQN("(~A*~(C*B))"),
+ .INIT(8'h15))
+ _al_u481 (
+ .a(_al_u479_o),
+ .b(_al_u480_o),
+ .c(_al_u443_o),
+ .o(_al_u481_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
+ .INIT(16'hca00))
+ _al_u482 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_012 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_012 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .d(_al_u457_o),
+ .o(_al_u482_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'h35))
+ _al_u483 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_000 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_000 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u483_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(C*B)*~(~D*A))"),
+ .INIT(16'h3f15))
+ _al_u484 (
+ .a(_al_u445_o),
+ .b(_al_u482_o),
+ .c(_al_u443_o),
+ .d(_al_u483_o),
+ .o(_al_u484_o));
+ AL_MAP_LUT5 #(
+ .EQN("(E*D*~C*~B*~A)"),
+ .INIT(32'h01000000))
+ _al_u485 (
+ .a(_al_u463_o),
+ .b(_al_u470_o),
+ .c(_al_u478_o),
+ .d(_al_u481_o),
+ .e(_al_u484_o),
+ .o(_al_u485_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'h35))
+ _al_u486 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_017 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_017 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u486_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'h35))
+ _al_u487 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_001 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_001 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u487_o));
+ AL_MAP_LUT5 #(
+ .EQN("(A*~(~(~D*C)*~(~E*B)))"),
+ .INIT(32'h00a088a8))
+ _al_u488 (
+ .a(_al_u438_o),
+ .b(_al_u442_o),
+ .c(_al_u477_o),
+ .d(_al_u486_o),
+ .e(_al_u487_o),
+ .o(_al_u488_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'h35))
+ _al_u489 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_008 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_008 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u489_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*B*A)"),
+ .INIT(16'h0080))
+ _al_u490 (
+ .a(_al_u438_o),
+ .b(_al_u442_o),
+ .c(_al_u300_o),
+ .d(_al_u489_o),
+ .o(\SPI_TX/sel1/B9 ));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'h35))
+ _al_u491 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_010 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_010 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u491_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*B*A)"),
+ .INIT(16'h0080))
+ _al_u492 (
+ .a(_al_u438_o),
+ .b(_al_u443_o),
+ .c(_al_u461_o),
+ .d(_al_u491_o),
+ .o(\SPI_TX/sel1/B11 ));
+ AL_MAP_LUT4 #(
+ .EQN("(~C*~B*~(D*A))"),
+ .INIT(16'h0103))
+ _al_u493 (
+ .a(_al_u488_o),
+ .b(\SPI_TX/sel1/B9 ),
+ .c(\SPI_TX/sel1/B11 ),
+ .d(_al_u467_o),
+ .o(_al_u493_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*A)"),
+ .INIT(8'h80))
+ _al_u494 (
+ .a(_al_u438_o),
+ .b(_al_u477_o),
+ .c(_al_u459_o),
+ .o(\SPI_TX/n77_lutinv ));
+ AL_MAP_LUT3 #(
+ .EQN("(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'hca))
+ _al_u495 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_015 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_015 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(\SPI_TX/FIFOdata [15]));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*A)"),
+ .INIT(16'h8000))
+ _al_u496 (
+ .a(_al_u438_o),
+ .b(_al_u443_o),
+ .c(_al_u459_o),
+ .d(\SPI_TX/FIFOdata [15]),
+ .o(\SPI_TX/sel1/B16 ));
+ AL_MAP_LUT3 #(
+ .EQN("(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'hca))
+ _al_u497 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_018 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_018 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(\SPI_TX/FIFOdata [18]));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*A)"),
+ .INIT(16'h8000))
+ _al_u498 (
+ .a(_al_u438_o),
+ .b(_al_u477_o),
+ .c(_al_u461_o),
+ .d(\SPI_TX/FIFOdata [18]),
+ .o(\SPI_TX/sel1/B19 ));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'h35))
+ _al_u499 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_023 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_023 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(_al_u499_o));
+ EG_PHY_PAD #(
+ //.LOCATION("B16"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u5 (
+ .do({open_n2608,open_n2609,open_n2610,LED_pad[2]}),
+ .opad(LED[2])); // ../rtl/topmodule/CortexM0_SoC.v(11)
+ AL_MAP_LUT4 #(
+ .EQN("(~C*~B*~(~D*A))"),
+ .INIT(16'h0301))
+ _al_u500 (
+ .a(\SPI_TX/n77_lutinv ),
+ .b(\SPI_TX/sel1/B16 ),
+ .c(\SPI_TX/sel1/B19 ),
+ .d(_al_u499_o),
+ .o(_al_u500_o));
+ AL_MAP_LUT3 #(
+ .EQN("(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)"),
+ .INIT(8'hca))
+ _al_u501 (
+ .a(\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_006 ),
+ .b(\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_006 ),
+ .c(\SPI_TX/FIFO_SPI/rp [4]),
+ .o(\SPI_TX/FIFOdata [6]));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*A)"),
+ .INIT(16'h8000))
+ _al_u502 (
+ .a(_al_u438_o),
+ .b(_al_u442_o),
+ .c(_al_u465_o),
+ .d(\SPI_TX/FIFOdata [6]),
+ .o(\SPI_TX/sel1/B7 ));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*A)"),
+ .INIT(8'h80))
+ _al_u503 (
+ .a(_al_u459_o),
+ .b(\SPI_TX/counter [3]),
+ .c(\SPI_TX/counter [4]),
+ .o(_al_u503_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~B*~(D*~(~C*A)))"),
+ .INIT(16'h0233))
+ _al_u504 (
+ .a(_al_u441_o),
+ .b(\SPI_TX/sel1/B7 ),
+ .c(_al_u503_o),
+ .d(MSI_SDATA_pad),
+ .o(_al_u504_o));
+ AL_MAP_LUT5 #(
+ .EQN("~(D*C*B*~(E*~A))"),
+ .INIT(32'h7fff3fff))
+ _al_u505 (
+ .a(_al_u485_o),
+ .b(_al_u493_o),
+ .c(_al_u500_o),
+ .d(_al_u504_o),
+ .e(_al_u438_o),
+ .o(\SPI_TX/n106 ));
+ AL_MAP_LUT3 #(
+ .EQN("~(~B*~(C*~A))"),
+ .INIT(8'hdc))
+ _al_u506 (
+ .a(_al_u446_o),
+ .b(\SPI_TX/n77_lutinv ),
+ .c(\SPI_TX/MSI_clk_en ),
+ .o(\SPI_TX/n109 ));
+ AL_MAP_LUT1 #(
+ .EQN("(~A)"),
+ .INIT(2'h1))
+ _al_u507 (
+ .a(\SPI_TX/FIFO_SPI/r_flag ),
+ .o(\SPI_TX/FIFO_SPI/n19 ));
+ AL_MAP_LUT1 #(
+ .EQN("(~A)"),
+ .INIT(2'h1))
+ _al_u508 (
+ .a(\SPI_TX/FIFO_SPI/w_flag ),
+ .o(\SPI_TX/FIFO_SPI/n7 ));
+ AL_MAP_LUT1 #(
+ .EQN("(~A)"),
+ .INIT(2'h1))
+ _al_u509 (
+ .a(\UART_TX/FIFO/r_flag ),
+ .o(\UART_TX/FIFO/n19 ));
+ AL_MAP_LUT1 #(
+ .EQN("(~A)"),
+ .INIT(2'h1))
+ _al_u510 (
+ .a(\UART_TX/FIFO/w_flag ),
+ .o(\UART_TX/FIFO/n7 ));
+ AL_MAP_LUT1 #(
+ .EQN("(~A)"),
+ .INIT(2'h1))
+ _al_u511 (
+ .a(\scan_unit/scan_clk ),
+ .o(\scan_unit/n1 ));
+ AL_MAP_LUT1 #(
+ .EQN("(~A)"),
+ .INIT(2'h1))
+ _al_u512 (
+ .a(SYSRESETREQ),
+ .o(n1));
+ AL_MAP_LUT1 #(
+ .EQN("(~A)"),
+ .INIT(2'h1))
+ _al_u513 (
+ .a(\UART_TX/FIFO/rp [0]),
+ .o(\UART_TX/FIFO/n18 [0]));
+ AL_MAP_LUT1 #(
+ .EQN("(~A)"),
+ .INIT(2'h1))
+ _al_u514 (
+ .a(\UART_TX/FIFO/wp [0]),
+ .o(\UART_TX/FIFO/n6 [0]));
+ AL_MAP_LUT1 #(
+ .EQN("(~A)"),
+ .INIT(2'h1))
+ _al_u515 (
+ .a(\SPI_TX/FIFO_SPI/rp [0]),
+ .o(\SPI_TX/FIFO_SPI/n18 [0]));
+ AL_MAP_LUT1 #(
+ .EQN("(~A)"),
+ .INIT(2'h1))
+ _al_u516 (
+ .a(\SPI_TX/FIFO_SPI/wp [0]),
+ .o(\SPI_TX/FIFO_SPI/n6 [0]));
+ EG_PHY_PAD #(
+ //.LOCATION("B15"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u6 (
+ .do({open_n2625,open_n2626,open_n2627,LED_pad[1]}),
+ .opad(LED[1])); // ../rtl/topmodule/CortexM0_SoC.v(11)
+ EG_PHY_PAD #(
+ //.LOCATION("B14"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u7 (
+ .do({open_n2642,open_n2643,open_n2644,LED_pad[0]}),
+ .opad(LED[0])); // ../rtl/topmodule/CortexM0_SoC.v(11)
+ EG_PHY_PAD #(
+ //.LOCATION("P9"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u8 (
+ .do({open_n2659,open_n2660,open_n2661,MSI_CS_pad}),
+ .opad(MSI_CS)); // ../rtl/topmodule/CortexM0_SoC.v(16)
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u89 (
+ .a(\FMDATA_Interface/size_reg [3]),
+ .b(\FMDATA_Interface/wr_en_reg ),
+ .o(FMDATA_WRITE[3]));
+ EG_PHY_PAD #(
+ //.LOCATION("R15"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u9 (
+ .do({open_n2676,open_n2677,open_n2678,MSI_REFCLK_pad}),
+ .opad(MSI_REFCLK)); // ../rtl/topmodule/CortexM0_SoC.v(14)
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u90 (
+ .a(\FMDATA_Interface/size_reg [2]),
+ .b(\FMDATA_Interface/wr_en_reg ),
+ .o(FMDATA_WRITE[2]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u91 (
+ .a(\FMDATA_Interface/size_reg [1]),
+ .b(\FMDATA_Interface/wr_en_reg ),
+ .o(FMDATA_WRITE[1]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u92 (
+ .a(\FMDATA_Interface/size_reg [0]),
+ .b(\FMDATA_Interface/wr_en_reg ),
+ .o(FMDATA_WRITE[0]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u93 (
+ .a(\RAMCODE_Interface/size_reg [3]),
+ .b(\RAMCODE_Interface/wr_en_reg ),
+ .o(RAMCODE_WRITE[3]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u94 (
+ .a(\RAMCODE_Interface/size_reg [2]),
+ .b(\RAMCODE_Interface/wr_en_reg ),
+ .o(RAMCODE_WRITE[2]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u95 (
+ .a(\RAMCODE_Interface/size_reg [1]),
+ .b(\RAMCODE_Interface/wr_en_reg ),
+ .o(RAMCODE_WRITE[1]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u96 (
+ .a(\RAMCODE_Interface/size_reg [0]),
+ .b(\RAMCODE_Interface/wr_en_reg ),
+ .o(RAMCODE_WRITE[0]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u97 (
+ .a(\RAMDATA_Interface/size_reg [3]),
+ .b(\RAMDATA_Interface/wr_en_reg ),
+ .o(RAMDATA_WRITE[3]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u98 (
+ .a(\RAMDATA_Interface/size_reg [2]),
+ .b(\RAMDATA_Interface/wr_en_reg ),
+ .o(RAMDATA_WRITE[2]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u99 (
+ .a(\RAMDATA_Interface/size_reg [1]),
+ .b(\RAMDATA_Interface/wr_en_reg ),
+ .o(RAMDATA_WRITE[1]));
+ AL_BUFKEEP #(
+ .KEEP("IN"))
+ _bufkeep_CW_CLK_MSI (
+ .i(CW_CLK_MSI)); // ../rtl/topmodule/CortexM0_SoC.v(665)
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
+ //.R_POSITION("X0Y0Z0"),
+ .ALUTYPE("ADD_CARRY"),
+ .INIT_LUT0(16'b0000000000001010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin (
+ .a({\clkuart_pwm/cnt [0],1'b0}),
+ .b({1'b1,open_n2693}),
+ .f({\clkuart_pwm/n4 [0],open_n2713}),
+ .fco(\clkuart_pwm/add0/c1 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
+ //.R_POSITION("X0Y2Z1"),
+ .ALUTYPE("ADD"),
+ .INIT_LUT0(16'b0110011001101010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/add0/u10|clkuart_pwm/add0/u9 (
+ .a(\clkuart_pwm/cnt [10:9]),
+ .b(2'b00),
+ .fci(\clkuart_pwm/add0/c9 ),
+ .f(\clkuart_pwm/n4 [10:9]),
+ .fco(\clkuart_pwm/add0/c11 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
+ //.R_POSITION("X0Y3Z0"),
+ .ALUTYPE("ADD"),
+ .INIT_LUT0(16'b0110011001101010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/add0/u12|clkuart_pwm/add0/u11 (
+ .a(\clkuart_pwm/cnt [12:11]),
+ .b(2'b00),
+ .fci(\clkuart_pwm/add0/c11 ),
+ .f(\clkuart_pwm/n4 [12:11]));
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
+ //.R_POSITION("X0Y0Z1"),
+ .ALUTYPE("ADD"),
+ .INIT_LUT0(16'b0110011001101010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/add0/u2|clkuart_pwm/add0/u1 (
+ .a(\clkuart_pwm/cnt [2:1]),
+ .b(2'b00),
+ .fci(\clkuart_pwm/add0/c1 ),
+ .f(\clkuart_pwm/n4 [2:1]),
+ .fco(\clkuart_pwm/add0/c3 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
+ //.R_POSITION("X0Y1Z0"),
+ .ALUTYPE("ADD"),
+ .INIT_LUT0(16'b0110011001101010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/add0/u4|clkuart_pwm/add0/u3 (
+ .a(\clkuart_pwm/cnt [4:3]),
+ .b(2'b00),
+ .fci(\clkuart_pwm/add0/c3 ),
+ .f(\clkuart_pwm/n4 [4:3]),
+ .fco(\clkuart_pwm/add0/c5 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
+ //.R_POSITION("X0Y1Z1"),
+ .ALUTYPE("ADD"),
+ .INIT_LUT0(16'b0110011001101010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/add0/u6|clkuart_pwm/add0/u5 (
+ .a(\clkuart_pwm/cnt [6:5]),
+ .b(2'b00),
+ .fci(\clkuart_pwm/add0/c5 ),
+ .f(\clkuart_pwm/n4 [6:5]),
+ .fco(\clkuart_pwm/add0/c7 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
+ //.R_POSITION("X0Y2Z0"),
+ .ALUTYPE("ADD"),
+ .INIT_LUT0(16'b0110011001101010),
+ .INIT_LUT1(16'b0110011001101010),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/add0/u8|clkuart_pwm/add0/u7 (
+ .a(\clkuart_pwm/cnt [8:7]),
+ .b(2'b00),
+ .fci(\clkuart_pwm/add0/c7 ),
+ .f(\clkuart_pwm/n4 [8:7]),
+ .fco(\clkuart_pwm/add0/c9 ));
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \clkuart_pwm/clk_uart_reg (
+ .clk(clk_pad),
+ .d(\clkuart_pwm/n6 ),
+ .sr(cpuresetn),
+ .q(clk_uart)); // ../rtl/peripherals/clkuart_pwm.v(20)
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
+ //.R_POSITION("X0Y0Z0"),
+ .ALUTYPE("A_LE_B_CARRY"),
+ .INIT_LUT0(16'b0000000000001010),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin (
+ .a(2'b11),
+ .b({\clkuart_pwm/cnt [0],open_n2852}),
+ .fco(\clkuart_pwm/lt0_c1 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
+ //.R_POSITION("X0Y2Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/lt0_10|clkuart_pwm/lt0_9 (
+ .a(2'b00),
+ .b(\clkuart_pwm/cnt [10:9]),
+ .fci(\clkuart_pwm/lt0_c9 ),
+ .fco(\clkuart_pwm/lt0_c11 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
+ //.R_POSITION("X0Y3Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/lt0_12|clkuart_pwm/lt0_11 (
+ .a(2'b00),
+ .b(\clkuart_pwm/cnt [12:11]),
+ .fci(\clkuart_pwm/lt0_c11 ),
+ .fco(\clkuart_pwm/lt0_c13 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
+ //.R_POSITION("X0Y0Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/lt0_2|clkuart_pwm/lt0_1 (
+ .a(2'b00),
+ .b(\clkuart_pwm/cnt [2:1]),
+ .fci(\clkuart_pwm/lt0_c1 ),
+ .fco(\clkuart_pwm/lt0_c3 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
+ //.R_POSITION("X0Y1Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/lt0_4|clkuart_pwm/lt0_3 (
+ .a(2'b10),
+ .b(\clkuart_pwm/cnt [4:3]),
+ .fci(\clkuart_pwm/lt0_c3 ),
+ .fco(\clkuart_pwm/lt0_c5 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
+ //.R_POSITION("X0Y1Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/lt0_6|clkuart_pwm/lt0_5 (
+ .a(2'b01),
+ .b(\clkuart_pwm/cnt [6:5]),
+ .fci(\clkuart_pwm/lt0_c5 ),
+ .fco(\clkuart_pwm/lt0_c7 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
+ //.R_POSITION("X0Y2Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/lt0_8|clkuart_pwm/lt0_7 (
+ .a(2'b11),
+ .b(\clkuart_pwm/cnt [8:7]),
+ .fci(\clkuart_pwm/lt0_c7 ),
+ .fco(\clkuart_pwm/lt0_c9 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
+ //.R_POSITION("X0Y3Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \clkuart_pwm/lt0_cout_al_u536 (
+ .a({open_n3022,1'b0}),
+ .b({open_n3023,1'b1}),
+ .fci(\clkuart_pwm/lt0_c13 ),
+ .f({open_n3042,\clkuart_pwm/n1 }));
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \clkuart_pwm/reg0_b0 (
+ .clk(clk_pad),
+ .d(\clkuart_pwm/n5 [0]),
+ .sr(cpuresetn),
+ .q(\clkuart_pwm/cnt [0])); // ../rtl/peripherals/clkuart_pwm.v(14)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \clkuart_pwm/reg0_b1 (
+ .clk(clk_pad),
+ .d(\clkuart_pwm/n5 [1]),
+ .sr(cpuresetn),
+ .q(\clkuart_pwm/cnt [1])); // ../rtl/peripherals/clkuart_pwm.v(14)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \clkuart_pwm/reg0_b10 (
+ .clk(clk_pad),
+ .d(\clkuart_pwm/n5 [10]),
+ .sr(cpuresetn),
+ .q(\clkuart_pwm/cnt [10])); // ../rtl/peripherals/clkuart_pwm.v(14)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \clkuart_pwm/reg0_b11 (
+ .clk(clk_pad),
+ .d(\clkuart_pwm/n5 [11]),
+ .sr(cpuresetn),
+ .q(\clkuart_pwm/cnt [11])); // ../rtl/peripherals/clkuart_pwm.v(14)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \clkuart_pwm/reg0_b12 (
+ .clk(clk_pad),
+ .d(\clkuart_pwm/n5 [12]),
+ .sr(cpuresetn),
+ .q(\clkuart_pwm/cnt [12])); // ../rtl/peripherals/clkuart_pwm.v(14)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \clkuart_pwm/reg0_b2 (
+ .clk(clk_pad),
+ .d(\clkuart_pwm/n5 [2]),
+ .sr(cpuresetn),
+ .q(\clkuart_pwm/cnt [2])); // ../rtl/peripherals/clkuart_pwm.v(14)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \clkuart_pwm/reg0_b3 (
+ .clk(clk_pad),
+ .d(\clkuart_pwm/n5 [3]),
+ .sr(cpuresetn),
+ .q(\clkuart_pwm/cnt [3])); // ../rtl/peripherals/clkuart_pwm.v(14)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \clkuart_pwm/reg0_b4 (
+ .clk(clk_pad),
+ .d(\clkuart_pwm/n5 [4]),
+ .sr(cpuresetn),
+ .q(\clkuart_pwm/cnt [4])); // ../rtl/peripherals/clkuart_pwm.v(14)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \clkuart_pwm/reg0_b5 (
+ .clk(clk_pad),
+ .d(\clkuart_pwm/n5 [5]),
+ .sr(cpuresetn),
+ .q(\clkuart_pwm/cnt [5])); // ../rtl/peripherals/clkuart_pwm.v(14)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \clkuart_pwm/reg0_b6 (
+ .clk(clk_pad),
+ .d(\clkuart_pwm/n5 [6]),
+ .sr(cpuresetn),
+ .q(\clkuart_pwm/cnt [6])); // ../rtl/peripherals/clkuart_pwm.v(14)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \clkuart_pwm/reg0_b7 (
+ .clk(clk_pad),
+ .d(\clkuart_pwm/n5 [7]),
+ .sr(cpuresetn),
+ .q(\clkuart_pwm/cnt [7])); // ../rtl/peripherals/clkuart_pwm.v(14)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \clkuart_pwm/reg0_b8 (
+ .clk(clk_pad),
+ .d(\clkuart_pwm/n5 [8]),
+ .sr(cpuresetn),
+ .q(\clkuart_pwm/cnt [8])); // ../rtl/peripherals/clkuart_pwm.v(14)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \clkuart_pwm/reg0_b9 (
+ .clk(clk_pad),
+ .d(\clkuart_pwm/n5 [9]),
+ .sr(cpuresetn),
+ .q(\clkuart_pwm/cnt [9])); // ../rtl/peripherals/clkuart_pwm.v(14)
+ EG_PHY_CONFIG #(
+ .DONE_PERSISTN("ENABLE"),
+ .INIT_PERSISTN("ENABLE"),
+ .JTAG_PERSISTN("DISABLE"),
+ .PROGRAMN_PERSISTN("DISABLE"))
+ config_inst ();
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ cpuresetn_reg (
+ .clk(clk_pad),
+ .d(n1),
+ .sr(RSTn_pad),
+ .q(cpuresetn)); // ../rtl/topmodule/CortexM0_SoC.v(85)
+ EG_PHY_LSLICE #(
+ //.MACRO("filter_unit/add0/ucin_al_u529"),
+ //.R_POSITION("X0Y1Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \filter_unit/add0/u11_al_u532 (
+ .a({\filter_unit/cnt [13],\filter_unit/cnt [11]}),
+ .b({\filter_unit/cnt [14],\filter_unit/cnt [12]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\filter_unit/add0/c11 ),
+ .f({\filter_unit/n0 [13],\filter_unit/n0 [11]}),
+ .fco(\filter_unit/add0/c15 ),
+ .fx({\filter_unit/n0 [14],\filter_unit/n0 [12]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("filter_unit/add0/ucin_al_u529"),
+ //.R_POSITION("X0Y2Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \filter_unit/add0/u15_al_u533 (
+ .a({\filter_unit/cnt [17],\filter_unit/cnt [15]}),
+ .b({\filter_unit/cnt [18],\filter_unit/cnt [16]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\filter_unit/add0/c15 ),
+ .f({\filter_unit/n0 [17],\filter_unit/n0 [15]}),
+ .fco(\filter_unit/add0/c19 ),
+ .fx({\filter_unit/n0 [18],\filter_unit/n0 [16]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("filter_unit/add0/ucin_al_u529"),
+ //.R_POSITION("X0Y2Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \filter_unit/add0/u19_al_u534 (
+ .a({open_n3145,\filter_unit/cnt [19]}),
+ .c(2'b00),
+ .d({open_n3150,1'b0}),
+ .fci(\filter_unit/add0/c19 ),
+ .f({open_n3167,\filter_unit/n0 [19]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("filter_unit/add0/ucin_al_u529"),
+ //.R_POSITION("X0Y0Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \filter_unit/add0/u3_al_u530 (
+ .a({\filter_unit/cnt [5],\filter_unit/cnt [3]}),
+ .b({\filter_unit/cnt [6],\filter_unit/cnt [4]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\filter_unit/add0/c3 ),
+ .f({\filter_unit/n0 [5],\filter_unit/n0 [3]}),
+ .fco(\filter_unit/add0/c7 ),
+ .fx({\filter_unit/n0 [6],\filter_unit/n0 [4]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("filter_unit/add0/ucin_al_u529"),
+ //.R_POSITION("X0Y1Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \filter_unit/add0/u7_al_u531 (
+ .a({\filter_unit/cnt [9],\filter_unit/cnt [7]}),
+ .b({\filter_unit/cnt [10],\filter_unit/cnt [8]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\filter_unit/add0/c7 ),
+ .f({\filter_unit/n0 [9],\filter_unit/n0 [7]}),
+ .fco(\filter_unit/add0/c11 ),
+ .fx({\filter_unit/n0 [10],\filter_unit/n0 [8]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("filter_unit/add0/ucin_al_u529"),
+ //.R_POSITION("X0Y0Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'h000A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \filter_unit/add0/ucin_al_u529 (
+ .a({\filter_unit/cnt [1],1'b0}),
+ .b({\filter_unit/cnt [2],\filter_unit/cnt [0]}),
+ .c(2'b00),
+ .d(2'b01),
+ .e(2'b01),
+ .f({\filter_unit/n0 [1],open_n3226}),
+ .fco(\filter_unit/add0/c3 ),
+ .fx({\filter_unit/n0 [2],\filter_unit/n0 [0]}));
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b0 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [0]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [0])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b1 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [1]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [1])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b10 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [10]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [10])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b11 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [11]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [11])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b12 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [12]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [12])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b13 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [13]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [13])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b14 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [14]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [14])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b15 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [15]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [15])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b2 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [2]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [2])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b3 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [3]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [3])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b4 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [4]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [4])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b5 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [5]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [5])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b6 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [6]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [6])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b7 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [7]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [7])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b8 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [8]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [8])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg0_b9 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(\filter_unit/key_reg0 [9]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg1 [9])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b0 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [0]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [0])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b1 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [1]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [1])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b10 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [10]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [10])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b11 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [11]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [11])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b12 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [12]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [12])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b13 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [13]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [13])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b14 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [14]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [14])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b15 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [15]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [15])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b16 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [16]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [16])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b17 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [17]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [17])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b18 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [18]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [18])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b19 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [19]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [19])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b2 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [2]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [2])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b3 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [3]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [3])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b4 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [4]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [4])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b5 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [5]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [5])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b6 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [6]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [6])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b7 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [7]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [7])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b8 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [8]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [8])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg5_b9 (
+ .clk(clk_pad),
+ .d(\filter_unit/n1 [9]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/cnt [9])); // ../rtl/peripherals/KeyScan.v(38)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b0 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[0]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [0])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b1 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[1]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [1])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b10 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[10]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [10])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b11 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[11]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [11])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b12 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[12]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [12])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b13 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[13]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [13])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b14 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[14]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [14])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b15 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[15]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [15])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b2 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[2]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [2])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b3 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[3]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [3])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b4 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[4]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [4])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b5 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[5]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [5])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b6 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[6]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [6])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b7 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[7]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [7])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b8 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[8]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [8])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \filter_unit/reg6_b9 (
+ .ce(\filter_unit/n3 ),
+ .clk(clk_pad),
+ .d(key_in[9]),
+ .sr(RSTn_pad),
+ .q(\filter_unit/key_reg0 [9])); // ../rtl/peripherals/KeyScan.v(50)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b0 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [0]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [0])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b1 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [1]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [1])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b10 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [10]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [10])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b11 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [11]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [11])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b12 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [12]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [12])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b13 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [13]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [13])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b14 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [14]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [14])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b15 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [15]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [15])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b2 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [2]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [2])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b3 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [3]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [3])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b4 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [4]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [4])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b5 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [5]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [5])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b6 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [6]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [6])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b7 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [7]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [7])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b8 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [8]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [8])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg0_b9 (
+ .clk(clk_pad),
+ .d(\pulse_gen_unit/key_reg_1 [9]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_2 [9])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b0 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [0]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [0])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b1 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [1]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [1])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b10 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [10]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [10])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b11 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [11]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [11])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b12 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [12]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [12])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b13 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [13]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [13])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b14 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [14]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [14])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b15 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [15]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [15])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b2 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [2]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [2])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b3 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [3]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [3])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b4 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [4]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [4])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b5 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [5]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [5])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b6 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [6]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [6])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b7 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [7]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [7])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b8 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [8]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [8])); // ../rtl/peripherals/KeyScan.v(110)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \pulse_gen_unit/reg1_b9 (
+ .clk(clk_pad),
+ .d(\filter_unit/n9 [9]),
+ .sr(RSTn_pad),
+ .q(\pulse_gen_unit/key_reg_1 [9])); // ../rtl/peripherals/KeyScan.v(110)
+ EG_PHY_LSLICE #(
+ //.MACRO("scan_unit/add0/ucin_al_u520"),
+ //.R_POSITION("X0Y1Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \scan_unit/add0/u11_al_u523 (
+ .a({\scan_unit/cnt [13],\scan_unit/cnt [11]}),
+ .b({\scan_unit/cnt [14],\scan_unit/cnt [12]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\scan_unit/add0/c11 ),
+ .f({\scan_unit/n2 [13],\scan_unit/n2 [11]}),
+ .fco(\scan_unit/add0/c15 ),
+ .fx({\scan_unit/n2 [14],\scan_unit/n2 [12]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("scan_unit/add0/ucin_al_u520"),
+ //.R_POSITION("X0Y2Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \scan_unit/add0/u15_al_u524 (
+ .a({\scan_unit/cnt [17],\scan_unit/cnt [15]}),
+ .b({\scan_unit/cnt [18],\scan_unit/cnt [16]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\scan_unit/add0/c15 ),
+ .f({\scan_unit/n2 [17],\scan_unit/n2 [15]}),
+ .fco(\scan_unit/add0/c19 ),
+ .fx({\scan_unit/n2 [18],\scan_unit/n2 [16]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("scan_unit/add0/ucin_al_u520"),
+ //.R_POSITION("X0Y2Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \scan_unit/add0/u19_al_u525 (
+ .a({\scan_unit/cnt [21],\scan_unit/cnt [19]}),
+ .b({\scan_unit/cnt [22],\scan_unit/cnt [20]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\scan_unit/add0/c19 ),
+ .f({\scan_unit/n2 [21],\scan_unit/n2 [19]}),
+ .fco(\scan_unit/add0/c23 ),
+ .fx({\scan_unit/n2 [22],\scan_unit/n2 [20]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("scan_unit/add0/ucin_al_u520"),
+ //.R_POSITION("X0Y3Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \scan_unit/add0/u23_al_u526 (
+ .a({\scan_unit/cnt [25],\scan_unit/cnt [23]}),
+ .b({\scan_unit/cnt [26],\scan_unit/cnt [24]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\scan_unit/add0/c23 ),
+ .f({\scan_unit/n2 [25],\scan_unit/n2 [23]}),
+ .fco(\scan_unit/add0/c27 ),
+ .fx({\scan_unit/n2 [26],\scan_unit/n2 [24]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("scan_unit/add0/ucin_al_u520"),
+ //.R_POSITION("X0Y3Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \scan_unit/add0/u27_al_u527 (
+ .a({\scan_unit/cnt [29],\scan_unit/cnt [27]}),
+ .b({\scan_unit/cnt [30],\scan_unit/cnt [28]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\scan_unit/add0/c27 ),
+ .f({\scan_unit/n2 [29],\scan_unit/n2 [27]}),
+ .fco(\scan_unit/add0/c31 ),
+ .fx({\scan_unit/n2 [30],\scan_unit/n2 [28]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("scan_unit/add0/ucin_al_u520"),
+ //.R_POSITION("X0Y4Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \scan_unit/add0/u31_al_u528 (
+ .a({open_n3371,\scan_unit/cnt [31]}),
+ .c(2'b00),
+ .d({open_n3376,1'b0}),
+ .fci(\scan_unit/add0/c31 ),
+ .f({open_n3393,\scan_unit/n2 [31]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("scan_unit/add0/ucin_al_u520"),
+ //.R_POSITION("X0Y0Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \scan_unit/add0/u3_al_u521 (
+ .a({\scan_unit/cnt [5],\scan_unit/cnt [3]}),
+ .b({\scan_unit/cnt [6],\scan_unit/cnt [4]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\scan_unit/add0/c3 ),
+ .f({\scan_unit/n2 [5],\scan_unit/n2 [3]}),
+ .fco(\scan_unit/add0/c7 ),
+ .fx({\scan_unit/n2 [6],\scan_unit/n2 [4]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("scan_unit/add0/ucin_al_u520"),
+ //.R_POSITION("X0Y1Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \scan_unit/add0/u7_al_u522 (
+ .a({\scan_unit/cnt [9],\scan_unit/cnt [7]}),
+ .b({\scan_unit/cnt [10],\scan_unit/cnt [8]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\scan_unit/add0/c7 ),
+ .f({\scan_unit/n2 [9],\scan_unit/n2 [7]}),
+ .fco(\scan_unit/add0/c11 ),
+ .fx({\scan_unit/n2 [10],\scan_unit/n2 [8]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("scan_unit/add0/ucin_al_u520"),
+ //.R_POSITION("X0Y0Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'h000A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \scan_unit/add0/ucin_al_u520 (
+ .a({\scan_unit/cnt [1],1'b0}),
+ .b({\scan_unit/cnt [2],\scan_unit/cnt [0]}),
+ .c(2'b00),
+ .d(2'b01),
+ .e(2'b01),
+ .f({\scan_unit/n2 [1],open_n3452}),
+ .fco(\scan_unit/add0/c3 ),
+ .fx({\scan_unit/n2 [2],\scan_unit/n2 [0]}));
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg0_b0 (
+ .clk(\scan_unit/scan_clk ),
+ .d(row_pad[3]),
+ .q(row_pad[0])); // ../rtl/peripherals/KeyScan.v(16)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg0_b1 (
+ .clk(\scan_unit/scan_clk ),
+ .d(row_pad[0]),
+ .q(row_pad[1])); // ../rtl/peripherals/KeyScan.v(16)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg0_b2 (
+ .clk(\scan_unit/scan_clk ),
+ .d(row_pad[1]),
+ .q(row_pad[2])); // ../rtl/peripherals/KeyScan.v(16)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg0_b3 (
+ .clk(\scan_unit/scan_clk ),
+ .d(row_pad[2]),
+ .q(row_pad[3])); // ../rtl/peripherals/KeyScan.v(16)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b0 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [0]),
+ .q(key_in[0])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b1 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [1]),
+ .q(key_in[1])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b10 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [10]),
+ .q(key_in[10])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b11 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [11]),
+ .q(key_in[11])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b12 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [12]),
+ .q(key_in[12])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b13 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [13]),
+ .q(key_in[13])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b14 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [14]),
+ .q(key_in[14])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b15 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [15]),
+ .q(key_in[15])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b2 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [2]),
+ .q(key_in[2])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b3 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [3]),
+ .q(key_in[3])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b4 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [4]),
+ .q(key_in[4])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b5 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [5]),
+ .q(key_in[5])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b6 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [6]),
+ .q(key_in[6])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b7 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [7]),
+ .q(key_in[7])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b8 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [8]),
+ .q(key_in[8])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("INV"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/reg1_b9 (
+ .clk(\scan_unit/scan_clk ),
+ .d(\scan_unit/n13 [9]),
+ .q(key_in[9])); // ../rtl/peripherals/KeyScan.v(18)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b0 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [0]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [0])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b1 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [1]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [1])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b10 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [10]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [10])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b11 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [11]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [11])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b12 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [12]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [12])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b13 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [13]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [13])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b14 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [14]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [14])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b15 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [15]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [15])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b16 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [16]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [16])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b17 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [17]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [17])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b18 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [18]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [18])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b19 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [19]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [19])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b2 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [2]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [2])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b20 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [20]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [20])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b21 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [21]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [21])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b22 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [22]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [22])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b23 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [23]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [23])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b24 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [24]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [24])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b25 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [25]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [25])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b26 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [26]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [26])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b27 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [27]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [27])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b28 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [28]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [28])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b29 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [29]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [29])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b3 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [3]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [3])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b30 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [30]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [30])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b31 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [31]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [31])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b4 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [4]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [4])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b5 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [5]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [5])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b6 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [6]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [6])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b7 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [7]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [7])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b8 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [8]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [8])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ \scan_unit/reg2_b9 (
+ .clk(clk_pad),
+ .d(\scan_unit/n2 [9]),
+ .sr(\scan_unit/n0 ),
+ .q(\scan_unit/cnt [9])); // ../rtl/peripherals/KeyScan.v(8)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \scan_unit/scan_clk_reg (
+ .ce(\scan_unit/n0 ),
+ .clk(clk_pad),
+ .d(\scan_unit/n1 ),
+ .q(\scan_unit/scan_clk )); // ../rtl/peripherals/KeyScan.v(8)
+ cortexm0ds_logic u_logic (
+ .CDBGPWRUPACK(CDBGPWRUPACK),
+ .DBGRESETn(RSTn_pad),
+ .DBGRESTART(1'b0),
+ .DCLK(clk_pad),
+ .ECOREVNUM(28'b0000000000000000000000000000),
+ .EDBGRQ(1'b0),
+ .FCLK(clk_pad),
+ .HCLK(clk_pad),
+ .HRDATA(HRDATA),
+ .HREADY(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
+ .HRESETn(cpuresetn),
+ .HRESP(1'b0),
+ .IRQ({12'b000000000000,key_interrupt,RSSI_interrupt,1'b0,interrupt_IQ_done,interrupt_UART}),
+ .IRQLATENCY(8'b00000000),
+ .NMI(1'b0),
+ .PORESETn(RSTn_pad),
+ .RSTBYPASS(1'b0),
+ .RXEV(1'b0),
+ .SCLK(clk_pad),
+ .SE(1'b0),
+ .SLEEPHOLDREQn(1'b1),
+ .STCALIB(26'b00000000000000000000000000),
+ .STCLKEN(1'b0),
+ .SWCLKTCK(SWCLK),
+ .SWDITMS(SWDIO_pad),
+ .TDI(1'b0),
+ .WICENREQ(1'b0),
+ .nTRST(1'b1),
+ .CDBGPWRUPREQ(CDBGPWRUPREQ),
+ .HADDR(HADDR),
+ .HSIZE({open_n3544,HSIZE[1:0]}),
+ .HTRANS({HTRANS[1],open_n3545}),
+ .HWDATA(HWDATA),
+ .HWRITE(HWRITE),
+ .SWDO(SWDO),
+ .SWDOEN(SWDOEN),
+ .SYSRESETREQ(SYSRESETREQ)); // ../rtl/topmodule/CortexM0_SoC.v(103)
+
+endmodule
+
+module FM_Display // ../rtl/peripherals/FM_Display.v(3)
+ (
+ FM_HW_state,
+ RSTn,
+ clk,
+ rdaddr,
+ wdata,
+ wea,
+ wraddr,
+ seg,
+ sel
+ );
+
+ input [3:0] FM_HW_state; // ../rtl/peripherals/FM_Display.v(12)
+ input RSTn; // ../rtl/peripherals/FM_Display.v(7)
+ input clk; // ../rtl/peripherals/FM_Display.v(6)
+ input [12:0] rdaddr; // ../rtl/peripherals/FM_Display.v(9)
+ input [31:0] wdata; // ../rtl/peripherals/FM_Display.v(10)
+ input [3:0] wea; // ../rtl/peripherals/FM_Display.v(11)
+ input [12:0] wraddr; // ../rtl/peripherals/FM_Display.v(8)
+ output [7:0] seg; // ../rtl/peripherals/FM_Display.v(13)
+ output [3:0] sel; // ../rtl/peripherals/FM_Display.v(14)
+
+ parameter FM_ADDR_WIDTH = 13;
+ // localparam FM_HW_STATE_RCEV = 4'b0010;
+ wire [4:0] channel_NO; // ../rtl/peripherals/FM_Display.v(21)
+ wire [25:0] conter; // ../rtl/peripherals/FM_Display.v(19)
+ wire [15:0] counter_1Khz; // ../rtl/peripherals/FM_Display.v(64)
+ wire [1:0] ctrl_freq; // ../rtl/peripherals/FM_Display.v(97)
+ wire [3:0] frac_digit; // ../rtl/peripherals/FM_Display.v(22)
+ wire [7:0] n100;
+ wire [3:0] n110;
+ wire [1:0] n112;
+ wire [3:0] n116;
+ wire [7:0] n117;
+ wire [25:0] n12;
+ wire [25:0] n16;
+ wire [15:0] n20;
+ wire [15:0] n24;
+ wire [7:0] n91;
+ wire [7:0] n93;
+ wire [7:0] n95;
+ wire [3:0] percentage_digit; // ../rtl/peripherals/FM_Display.v(24)
+ wire [7:0] seg_pad; // ../rtl/peripherals/FM_Display.v(13)
+ wire [3:0] sel_pad; // ../rtl/peripherals/FM_Display.v(14)
+ wire [3:0] single_digit; // ../rtl/peripherals/FM_Display.v(23)
+ wire [3:0] thousand_digit; // ../rtl/peripherals/FM_Display.v(25)
+ wire ChannelNO_or_FREQ; // ../rtl/peripherals/FM_Display.v(86)
+ wire _al_u104_o;
+ wire _al_u105_o;
+ wire _al_u107_o;
+ wire _al_u108_o;
+ wire _al_u109_o;
+ wire _al_u110_o;
+ wire _al_u111_o;
+ wire _al_u112_o;
+ wire _al_u113_o;
+ wire _al_u114_o;
+ wire _al_u142_o;
+ wire _al_u143_o;
+ wire _al_u144_o;
+ wire _al_u145_o;
+ wire _al_u146_o;
+ wire _al_u147_o;
+ wire _al_u148_o;
+ wire _al_u150_o;
+ wire _al_u151_o;
+ wire _al_u153_o;
+ wire _al_u155_o;
+ wire _al_u157_o;
+ wire _al_u158_o;
+ wire _al_u159_o;
+ wire _al_u160_o;
+ wire _al_u164_o;
+ wire _al_u166_o;
+ wire _al_u168_o;
+ wire _al_u169_o;
+ wire _al_u170_o;
+ wire _al_u171_o;
+ wire _al_u172_o;
+ wire _al_u173_o;
+ wire _al_u174_o;
+ wire _al_u175_o;
+ wire _al_u176_o;
+ wire _al_u177_o;
+ wire _al_u178_o;
+ wire _al_u179_o;
+ wire _al_u180_o;
+ wire _al_u182_o;
+ wire _al_u183_o;
+ wire _al_u184_o;
+ wire _al_u185_o;
+ wire _al_u186_o;
+ wire _al_u187_o;
+ wire _al_u188_o;
+ wire _al_u189_o;
+ wire _al_u190_o;
+ wire _al_u191_o;
+ wire _al_u192_o;
+ wire _al_u193_o;
+ wire _al_u194_o;
+ wire _al_u195_o;
+ wire _al_u196_o;
+ wire _al_u197_o;
+ wire _al_u198_o;
+ wire _al_u199_o;
+ wire _al_u201_o;
+ wire _al_u202_o;
+ wire _al_u203_o;
+ wire _al_u206_o;
+ wire _al_u208_o;
+ wire _al_u209_o;
+ wire _al_u210_o;
+ wire _al_u211_o;
+ wire _al_u213_o;
+ wire _al_u214_o;
+ wire _al_u215_o;
+ wire _al_u216_o;
+ wire _al_u218_o;
+ wire _al_u219_o;
+ wire _al_u220_o;
+ wire _al_u221_o;
+ wire _al_u222_o;
+ wire _al_u225_o;
+ wire _al_u228_o;
+ wire _al_u230_o;
+ wire _al_u231_o;
+ wire _al_u232_o;
+ wire _al_u233_o;
+ wire _al_u234_o;
+ wire _al_u235_o;
+ wire _al_u236_o;
+ wire _al_u237_o;
+ wire _al_u238_o;
+ wire _al_u239_o;
+ wire _al_u240_o;
+ wire _al_u241_o;
+ wire _al_u69_o;
+ wire _al_u70_o;
+ wire _al_u71_o;
+ wire _al_u72_o;
+ wire _al_u74_o;
+ wire _al_u78_o;
+ wire _al_u80_o;
+ wire _al_u82_o;
+ wire _al_u83_o;
+ wire _al_u84_o;
+ wire _al_u85_o;
+ wire _al_u86_o;
+ wire \add0/c11 ; // ../rtl/peripherals/FM_Display.v(59)
+ wire \add0/c15 ; // ../rtl/peripherals/FM_Display.v(59)
+ wire \add0/c19 ; // ../rtl/peripherals/FM_Display.v(59)
+ wire \add0/c23 ; // ../rtl/peripherals/FM_Display.v(59)
+ wire \add0/c3 ; // ../rtl/peripherals/FM_Display.v(59)
+ wire \add0/c7 ; // ../rtl/peripherals/FM_Display.v(59)
+ wire \add1/c11 ; // ../rtl/peripherals/FM_Display.v(79)
+ wire \add1/c15 ; // ../rtl/peripherals/FM_Display.v(79)
+ wire \add1/c3 ; // ../rtl/peripherals/FM_Display.v(79)
+ wire \add1/c7 ; // ../rtl/peripherals/FM_Display.v(79)
+ wire clk_1Hz; // ../rtl/peripherals/FM_Display.v(20)
+ wire clk_1KHz; // ../rtl/peripherals/FM_Display.v(65)
+ wire ctrl_channel_NO; // ../rtl/peripherals/FM_Display.v(96)
+ wire lt0_c1;
+ wire lt0_c11;
+ wire lt0_c13;
+ wire lt0_c15;
+ wire lt0_c17;
+ wire lt0_c19;
+ wire lt0_c21;
+ wire lt0_c23;
+ wire lt0_c25;
+ wire lt0_c3;
+ wire lt0_c5;
+ wire lt0_c7;
+ wire lt0_c9;
+ wire lt1_c1;
+ wire lt1_c11;
+ wire lt1_c13;
+ wire lt1_c15;
+ wire lt1_c3;
+ wire lt1_c5;
+ wire lt1_c7;
+ wire lt1_c9;
+ wire \lt2/o_3_lutinv ; // ../rtl/peripherals/FM_Display.v(101)
+ wire n11;
+ wire n15;
+ wire n19;
+ wire n23;
+ wire n27;
+ wire n28_lutinv;
+ wire n29;
+ wire n3;
+ wire n87;
+ wire n89;
+
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ ChannelNO_or_FREQ_reg (
+ .clk(clk_1Hz),
+ .d(n27),
+ .sr(RSTn),
+ .q(ChannelNO_or_FREQ)); // ../rtl/peripherals/FM_Display.v(89)
+ EG_PHY_PAD #(
+ //.LOCATION("A4"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u10 (
+ .do({open_n2,open_n3,open_n4,seg_pad[0]}),
+ .opad(seg[0])); // ../rtl/peripherals/FM_Display.v(13)
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u100 (
+ .a(_al_u86_o),
+ .b(n20[11]),
+ .c(n19),
+ .d(counter_1Khz[11]),
+ .o(n24[11]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u101 (
+ .a(_al_u86_o),
+ .b(n20[10]),
+ .c(n19),
+ .d(counter_1Khz[10]),
+ .o(n24[10]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u102 (
+ .a(_al_u86_o),
+ .b(n20[1]),
+ .c(n19),
+ .d(counter_1Khz[1]),
+ .o(n24[1]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u103 (
+ .a(_al_u86_o),
+ .b(n20[0]),
+ .c(n19),
+ .d(counter_1Khz[0]),
+ .o(n24[0]));
+ AL_MAP_LUT4 #(
+ .EQN("(~C*~(A*~(D*B)))"),
+ .INIT(16'h0d05))
+ _al_u104 (
+ .a(n89),
+ .b(_al_u74_o),
+ .c(sel_pad[2]),
+ .d(ctrl_freq[1]),
+ .o(_al_u104_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u105 (
+ .a(ctrl_freq[0]),
+ .b(ctrl_freq[1]),
+ .o(_al_u105_o));
+ AL_MAP_LUT5 #(
+ .EQN("~(~B*~(~D*~A*~(E*C)))"),
+ .INIT(32'hcccdccdd))
+ _al_u106 (
+ .a(_al_u104_o),
+ .b(_al_u78_o),
+ .c(n89),
+ .d(n29),
+ .e(_al_u105_o),
+ .o(n116[2]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*A)"),
+ .INIT(16'h0002))
+ _al_u107 (
+ .a(conter[25]),
+ .b(conter[3]),
+ .c(conter[4]),
+ .d(conter[5]),
+ .o(_al_u107_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~E*D*C*B*A)"),
+ .INIT(32'h00008000))
+ _al_u108 (
+ .a(_al_u107_o),
+ .b(conter[21]),
+ .c(conter[22]),
+ .d(conter[23]),
+ .e(conter[24]),
+ .o(_al_u108_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u109 (
+ .a(conter[12]),
+ .b(conter[13]),
+ .o(_al_u109_o));
+ EG_PHY_PAD #(
+ //.LOCATION("A3"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u11 (
+ .do({open_n19,open_n20,open_n21,sel_pad[3]}),
+ .opad(sel[3])); // ../rtl/peripherals/FM_Display.v(14)
+ AL_MAP_LUT5 #(
+ .EQN("(~E*~D*~C*~B*A)"),
+ .INIT(32'h00000002))
+ _al_u110 (
+ .a(_al_u109_o),
+ .b(conter[0]),
+ .c(conter[1]),
+ .d(conter[10]),
+ .e(conter[11]),
+ .o(_al_u110_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*B*~A)"),
+ .INIT(16'h0004))
+ _al_u111 (
+ .a(conter[6]),
+ .b(conter[7]),
+ .c(conter[8]),
+ .d(conter[9]),
+ .o(_al_u111_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~C*B*~A)"),
+ .INIT(16'h0400))
+ _al_u112 (
+ .a(conter[18]),
+ .b(conter[19]),
+ .c(conter[2]),
+ .d(conter[20]),
+ .o(_al_u112_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~C*B*A)"),
+ .INIT(16'h0800))
+ _al_u113 (
+ .a(conter[14]),
+ .b(conter[15]),
+ .c(conter[16]),
+ .d(conter[17]),
+ .o(_al_u113_o));
+ AL_MAP_LUT5 #(
+ .EQN("(E*D*C*B*A)"),
+ .INIT(32'h80000000))
+ _al_u114 (
+ .a(_al_u108_o),
+ .b(_al_u110_o),
+ .c(_al_u111_o),
+ .d(_al_u112_o),
+ .e(_al_u113_o),
+ .o(_al_u114_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(~A*~(~C*B))"),
+ .INIT(8'hae))
+ _al_u115 (
+ .a(_al_u114_o),
+ .b(clk_1Hz),
+ .c(n11),
+ .o(n15));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u116 (
+ .a(_al_u114_o),
+ .b(n12[9]),
+ .c(n11),
+ .d(conter[9]),
+ .o(n16[9]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u117 (
+ .a(_al_u114_o),
+ .b(n12[8]),
+ .c(n11),
+ .d(conter[8]),
+ .o(n16[8]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u118 (
+ .a(_al_u114_o),
+ .b(n12[7]),
+ .c(n11),
+ .d(conter[7]),
+ .o(n16[7]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u119 (
+ .a(_al_u114_o),
+ .b(n12[6]),
+ .c(n11),
+ .d(conter[6]),
+ .o(n16[6]));
+ EG_PHY_PAD #(
+ //.LOCATION("A5"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u12 (
+ .do({open_n36,open_n37,open_n38,sel_pad[2]}),
+ .opad(sel[2])); // ../rtl/peripherals/FM_Display.v(14)
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u120 (
+ .a(_al_u114_o),
+ .b(n12[5]),
+ .c(n11),
+ .d(conter[5]),
+ .o(n16[5]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u121 (
+ .a(_al_u114_o),
+ .b(n12[4]),
+ .c(n11),
+ .d(conter[4]),
+ .o(n16[4]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u122 (
+ .a(_al_u114_o),
+ .b(n12[3]),
+ .c(n11),
+ .d(conter[3]),
+ .o(n16[3]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u123 (
+ .a(_al_u114_o),
+ .b(n12[25]),
+ .c(n11),
+ .d(conter[25]),
+ .o(n16[25]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u124 (
+ .a(_al_u114_o),
+ .b(n12[24]),
+ .c(n11),
+ .d(conter[24]),
+ .o(n16[24]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u125 (
+ .a(_al_u114_o),
+ .b(n12[23]),
+ .c(n11),
+ .d(conter[23]),
+ .o(n16[23]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u126 (
+ .a(_al_u114_o),
+ .b(n12[22]),
+ .c(n11),
+ .d(conter[22]),
+ .o(n16[22]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u127 (
+ .a(_al_u114_o),
+ .b(n12[21]),
+ .c(n11),
+ .d(conter[21]),
+ .o(n16[21]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u128 (
+ .a(_al_u114_o),
+ .b(n12[20]),
+ .c(n11),
+ .d(conter[20]),
+ .o(n16[20]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u129 (
+ .a(_al_u114_o),
+ .b(n12[2]),
+ .c(n11),
+ .d(conter[2]),
+ .o(n16[2]));
+ EG_PHY_PAD #(
+ //.LOCATION("B6"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u13 (
+ .do({open_n53,open_n54,open_n55,sel_pad[1]}),
+ .opad(sel[1])); // ../rtl/peripherals/FM_Display.v(14)
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u130 (
+ .a(_al_u114_o),
+ .b(n12[19]),
+ .c(n11),
+ .d(conter[19]),
+ .o(n16[19]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u131 (
+ .a(_al_u114_o),
+ .b(n12[18]),
+ .c(n11),
+ .d(conter[18]),
+ .o(n16[18]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u132 (
+ .a(_al_u114_o),
+ .b(n12[17]),
+ .c(n11),
+ .d(conter[17]),
+ .o(n16[17]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u133 (
+ .a(_al_u114_o),
+ .b(n12[16]),
+ .c(n11),
+ .d(conter[16]),
+ .o(n16[16]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u134 (
+ .a(_al_u114_o),
+ .b(n12[15]),
+ .c(n11),
+ .d(conter[15]),
+ .o(n16[15]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u135 (
+ .a(_al_u114_o),
+ .b(n12[14]),
+ .c(n11),
+ .d(conter[14]),
+ .o(n16[14]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u136 (
+ .a(_al_u114_o),
+ .b(n12[13]),
+ .c(n11),
+ .d(conter[13]),
+ .o(n16[13]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u137 (
+ .a(_al_u114_o),
+ .b(n12[12]),
+ .c(n11),
+ .d(conter[12]),
+ .o(n16[12]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u138 (
+ .a(_al_u114_o),
+ .b(n12[11]),
+ .c(n11),
+ .d(conter[11]),
+ .o(n16[11]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u139 (
+ .a(_al_u114_o),
+ .b(n12[10]),
+ .c(n11),
+ .d(conter[10]),
+ .o(n16[10]));
+ EG_PHY_PAD #(
+ //.LOCATION("C9"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u14 (
+ .do({open_n70,open_n71,open_n72,sel_pad[0]}),
+ .opad(sel[0])); // ../rtl/peripherals/FM_Display.v(14)
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u140 (
+ .a(_al_u114_o),
+ .b(n12[1]),
+ .c(n11),
+ .d(conter[1]),
+ .o(n16[1]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u141 (
+ .a(_al_u114_o),
+ .b(n12[0]),
+ .c(n11),
+ .d(conter[0]),
+ .o(n16[0]));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*~A)"),
+ .INIT(8'h40))
+ _al_u142 (
+ .a(channel_NO[0]),
+ .b(channel_NO[2]),
+ .c(channel_NO[4]),
+ .o(_al_u142_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(~D*C*B))"),
+ .INIT(16'haa2a))
+ _al_u143 (
+ .a(n29),
+ .b(_al_u142_o),
+ .c(channel_NO[1]),
+ .d(channel_NO[3]),
+ .o(_al_u143_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hccc555ff))
+ _al_u144 (
+ .a(ctrl_channel_NO),
+ .b(seg_pad[2]),
+ .c(channel_NO[1]),
+ .d(channel_NO[2]),
+ .e(channel_NO[3]),
+ .o(_al_u144_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~B*(~(A)*C*~(D)*~(E)+A*C*~(D)*~(E)+~(A)*~(C)*D*E))"),
+ .INIT(32'h01000030))
+ _al_u145 (
+ .a(ctrl_channel_NO),
+ .b(channel_NO[0]),
+ .c(channel_NO[1]),
+ .d(channel_NO[2]),
+ .e(channel_NO[3]),
+ .o(_al_u145_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~(C*~B*~A))"),
+ .INIT(16'hef00))
+ _al_u146 (
+ .a(FM_HW_state[3]),
+ .b(FM_HW_state[2]),
+ .c(FM_HW_state[1]),
+ .d(seg_pad[2]),
+ .o(_al_u146_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~D*~(A*(~C*~(B)*~(E)+~C*B*~(E)+~(~C)*B*E+~C*B*E)))"),
+ .INIT(32'h007700f5))
+ _al_u147 (
+ .a(_al_u143_o),
+ .b(_al_u144_o),
+ .c(_al_u145_o),
+ .d(_al_u146_o),
+ .e(channel_NO[4]),
+ .o(_al_u147_o));
+ AL_MAP_LUT5 #(
+ .EQN("(B*~(~(~C*~A)*~(E)*~(D)+~(~C*~A)*E*~(D)+~(~(~C*~A))*E*D+~(~C*~A)*E*D))"),
+ .INIT(32'h0004cc04))
+ _al_u148 (
+ .a(frac_digit[0]),
+ .b(frac_digit[1]),
+ .c(frac_digit[2]),
+ .d(frac_digit[3]),
+ .e(seg_pad[2]),
+ .o(_al_u148_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hfffb03fb))
+ _al_u149 (
+ .a(percentage_digit[0]),
+ .b(percentage_digit[1]),
+ .c(percentage_digit[2]),
+ .d(percentage_digit[3]),
+ .e(seg_pad[2]),
+ .o(n95[2]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~(~C*B*A))"),
+ .INIT(16'h00f7))
+ _al_u150 (
+ .a(frac_digit[2]),
+ .b(frac_digit[3]),
+ .c(seg_pad[2]),
+ .d(ctrl_freq[0]),
+ .o(_al_u150_o));
+ AL_MAP_LUT5 #(
+ .EQN("~(~(C*~A)*~((~D*~B))*~(E)+~(C*~A)*(~D*~B)*~(E)+~(~(C*~A))*(~D*~B)*E+~(C*~A)*(~D*~B)*E)"),
+ .INIT(32'hffcc5050))
+ _al_u151 (
+ .a(_al_u148_o),
+ .b(n95[2]),
+ .c(_al_u150_o),
+ .d(ctrl_freq[0]),
+ .e(ctrl_freq[1]),
+ .o(_al_u151_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hfffb03fb))
+ _al_u152 (
+ .a(single_digit[0]),
+ .b(single_digit[1]),
+ .c(single_digit[2]),
+ .d(single_digit[3]),
+ .e(seg_pad[2]),
+ .o(n93[2]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*A)"),
+ .INIT(4'h8))
+ _al_u153 (
+ .a(n93[2]),
+ .b(ctrl_freq[0]),
+ .o(_al_u153_o));
+ AL_MAP_LUT5 #(
+ .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hfffb03fa))
+ _al_u154 (
+ .a(thousand_digit[0]),
+ .b(thousand_digit[1]),
+ .c(thousand_digit[2]),
+ .d(thousand_digit[3]),
+ .e(seg_pad[2]),
+ .o(n100[2]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u155 (
+ .a(n100[2]),
+ .b(ctrl_freq[0]),
+ .o(_al_u155_o));
+ AL_MAP_LUT5 #(
+ .EQN("~(A*~(E*~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)))"),
+ .INIT(32'h75fd5555))
+ _al_u156 (
+ .a(_al_u147_o),
+ .b(_al_u151_o),
+ .c(_al_u153_o),
+ .d(_al_u155_o),
+ .e(n89),
+ .o(n117[2]));
+ AL_MAP_LUT5 #(
+ .EQN("(A*~((D*~B)*~(C)*~(E)+(D*~B)*C*~(E)+~((D*~B))*C*E+(D*~B)*C*E))"),
+ .INIT(32'h0a0a88aa))
+ _al_u157 (
+ .a(\lt2/o_3_lutinv ),
+ .b(ctrl_channel_NO),
+ .c(seg_pad[6]),
+ .d(channel_NO[2]),
+ .e(channel_NO[4]),
+ .o(_al_u157_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hfa513ff0))
+ _al_u158 (
+ .a(ctrl_channel_NO),
+ .b(channel_NO[0]),
+ .c(channel_NO[1]),
+ .d(channel_NO[2]),
+ .e(channel_NO[4]),
+ .o(_al_u158_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~(C*~B*~A))"),
+ .INIT(16'hef00))
+ _al_u159 (
+ .a(FM_HW_state[3]),
+ .b(FM_HW_state[2]),
+ .c(FM_HW_state[1]),
+ .d(seg_pad[6]),
+ .o(_al_u159_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~D*~(C*~A*~(~E*~B)))"),
+ .INIT(32'h00af00bf))
+ _al_u160 (
+ .a(_al_u157_o),
+ .b(_al_u158_o),
+ .c(n29),
+ .d(_al_u159_o),
+ .e(channel_NO[3]),
+ .o(_al_u160_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hff7c037c))
+ _al_u161 (
+ .a(single_digit[0]),
+ .b(single_digit[1]),
+ .c(single_digit[2]),
+ .d(single_digit[3]),
+ .e(seg_pad[6]),
+ .o(n93[6]));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hff7c037c))
+ _al_u162 (
+ .a(percentage_digit[0]),
+ .b(percentage_digit[1]),
+ .c(percentage_digit[2]),
+ .d(percentage_digit[3]),
+ .e(seg_pad[6]),
+ .o(n95[6]));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hff7c037c))
+ _al_u163 (
+ .a(frac_digit[0]),
+ .b(frac_digit[1]),
+ .c(frac_digit[2]),
+ .d(frac_digit[3]),
+ .e(seg_pad[6]),
+ .o(n91[6]));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+A*B*~(C)*D*~(E)+A*~(B)*C*D*~(E)+A*B*C*D*~(E)+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hffccaaf0))
+ _al_u164 (
+ .a(n93[6]),
+ .b(n95[6]),
+ .c(n91[6]),
+ .d(ctrl_freq[0]),
+ .e(ctrl_freq[1]),
+ .o(_al_u164_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hff7d037c))
+ _al_u165 (
+ .a(thousand_digit[0]),
+ .b(thousand_digit[1]),
+ .c(thousand_digit[2]),
+ .d(thousand_digit[3]),
+ .e(seg_pad[6]),
+ .o(n100[6]));
+ AL_MAP_LUT4 #(
+ .EQN("(B*~(D*C*~A))"),
+ .INIT(16'h8ccc))
+ _al_u166 (
+ .a(n100[6]),
+ .b(n89),
+ .c(ctrl_freq[0]),
+ .d(ctrl_freq[1]),
+ .o(_al_u166_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(A*~(C*B))"),
+ .INIT(8'hd5))
+ _al_u167 (
+ .a(_al_u160_o),
+ .b(_al_u164_o),
+ .c(_al_u166_o),
+ .o(n117[6]));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u168 (
+ .a(ctrl_channel_NO),
+ .b(channel_NO[0]),
+ .o(_al_u168_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(~D*B)*~(C*A))"),
+ .INIT(16'h5f13))
+ _al_u169 (
+ .a(\lt2/o_3_lutinv ),
+ .b(_al_u168_o),
+ .c(seg_pad[4]),
+ .d(channel_NO[3]),
+ .o(_al_u169_o));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u170 (
+ .a(channel_NO[0]),
+ .b(channel_NO[4]),
+ .o(_al_u170_o));
+ AL_MAP_LUT5 #(
+ .EQN("(A*(~(B)*~(C)*~(D)*~(E)+B*~(C)*~(D)*~(E)+~(B)*C*~(D)*~(E)+B*C*~(D)*~(E)+~(B)*C*D*~(E)+B*C*D*~(E)+~(B)*~(C)*~(D)*E+B*~(C)*~(D)*E+~(B)*C*~(D)*E+~(B)*~(C)*D*E))"),
+ .INIT(32'h022aa0aa))
+ _al_u171 (
+ .a(_al_u170_o),
+ .b(ctrl_channel_NO),
+ .c(channel_NO[1]),
+ .d(channel_NO[2]),
+ .e(channel_NO[3]),
+ .o(_al_u171_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*(~(B)*C*~(D)+B*C*~(D)+~(B)*~(C)*D))"),
+ .INIT(16'h02a0))
+ _al_u172 (
+ .a(ctrl_channel_NO),
+ .b(channel_NO[1]),
+ .c(channel_NO[2]),
+ .d(channel_NO[3]),
+ .o(_al_u172_o));
+ AL_MAP_LUT5 #(
+ .EQN("(C*~(~B*~(E*~(~D*A))))"),
+ .INIT(32'hf0d0c0c0))
+ _al_u173 (
+ .a(_al_u169_o),
+ .b(_al_u171_o),
+ .c(n29),
+ .d(_al_u172_o),
+ .e(channel_NO[4]),
+ .o(_al_u173_o));
+ AL_MAP_LUT5 #(
+ .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E)"),
+ .INIT(32'h02bafeba))
+ _al_u174 (
+ .a(frac_digit[0]),
+ .b(frac_digit[1]),
+ .c(frac_digit[2]),
+ .d(frac_digit[3]),
+ .e(seg_pad[4]),
+ .o(_al_u174_o));
+ AL_MAP_LUT5 #(
+ .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E)"),
+ .INIT(32'h02bafeba))
+ _al_u175 (
+ .a(single_digit[0]),
+ .b(single_digit[1]),
+ .c(single_digit[2]),
+ .d(single_digit[3]),
+ .e(seg_pad[4]),
+ .o(_al_u175_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
+ .INIT(16'h0035))
+ _al_u176 (
+ .a(_al_u174_o),
+ .b(_al_u175_o),
+ .c(ctrl_freq[0]),
+ .d(ctrl_freq[1]),
+ .o(_al_u176_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E)"),
+ .INIT(32'h02bafebb))
+ _al_u177 (
+ .a(thousand_digit[0]),
+ .b(thousand_digit[1]),
+ .c(thousand_digit[2]),
+ .d(thousand_digit[3]),
+ .e(seg_pad[4]),
+ .o(_al_u177_o));
+ AL_MAP_LUT5 #(
+ .EQN("(A*~(B)*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+A*B*C*~(D)*E+A*~(B)*~(C)*D*E)"),
+ .INIT(32'h02bafeba))
+ _al_u178 (
+ .a(percentage_digit[0]),
+ .b(percentage_digit[1]),
+ .c(percentage_digit[2]),
+ .d(percentage_digit[3]),
+ .e(seg_pad[4]),
+ .o(_al_u178_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
+ .INIT(16'h5300))
+ _al_u179 (
+ .a(_al_u177_o),
+ .b(_al_u178_o),
+ .c(ctrl_freq[0]),
+ .d(ctrl_freq[1]),
+ .o(_al_u179_o));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u180 (
+ .a(n28_lutinv),
+ .b(seg_pad[4]),
+ .o(_al_u180_o));
+ AL_MAP_LUT5 #(
+ .EQN("~(~D*~A*~(E*~(~C*~B)))"),
+ .INIT(32'hfffeffaa))
+ _al_u181 (
+ .a(_al_u173_o),
+ .b(_al_u176_o),
+ .c(_al_u179_o),
+ .d(_al_u180_o),
+ .e(n89),
+ .o(n117[4]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*(A*~(B)*~(C)+~(A)*~(B)*C+A*B*C))"),
+ .INIT(16'h0092))
+ _al_u182 (
+ .a(frac_digit[0]),
+ .b(frac_digit[1]),
+ .c(frac_digit[2]),
+ .d(frac_digit[3]),
+ .o(_al_u182_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~(~B*~A))"),
+ .INIT(8'he0))
+ _al_u183 (
+ .a(frac_digit[1]),
+ .b(frac_digit[2]),
+ .c(frac_digit[3]),
+ .o(_al_u183_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~E*~D*~A*~(~C*B))"),
+ .INIT(32'h00000051))
+ _al_u184 (
+ .a(_al_u182_o),
+ .b(_al_u183_o),
+ .c(seg_pad[3]),
+ .d(ctrl_freq[0]),
+ .e(ctrl_freq[1]),
+ .o(_al_u184_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E)"),
+ .INIT(32'h0092fc93))
+ _al_u185 (
+ .a(thousand_digit[0]),
+ .b(thousand_digit[1]),
+ .c(thousand_digit[2]),
+ .d(thousand_digit[3]),
+ .e(seg_pad[3]),
+ .o(_al_u185_o));
+ AL_MAP_LUT5 #(
+ .EQN("(C*~A*~(E*D*~B))"),
+ .INIT(32'h40505050))
+ _al_u186 (
+ .a(_al_u184_o),
+ .b(_al_u185_o),
+ .c(n89),
+ .d(ctrl_freq[0]),
+ .e(ctrl_freq[1]),
+ .o(_al_u186_o));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*~A)"),
+ .INIT(4'h1))
+ _al_u187 (
+ .a(channel_NO[1]),
+ .b(channel_NO[2]),
+ .o(_al_u187_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*(~C*~(B)*~(A)+~C*B*~(A)+~(~C)*B*A+~C*B*A))"),
+ .INIT(16'h8d00))
+ _al_u188 (
+ .a(_al_u187_o),
+ .b(_al_u168_o),
+ .c(seg_pad[3]),
+ .d(channel_NO[4]),
+ .o(_al_u188_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hfb513cf3))
+ _al_u189 (
+ .a(ctrl_channel_NO),
+ .b(channel_NO[0]),
+ .c(channel_NO[1]),
+ .d(channel_NO[2]),
+ .e(channel_NO[4]),
+ .o(_al_u189_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hfffe451f))
+ _al_u190 (
+ .a(ctrl_channel_NO),
+ .b(channel_NO[0]),
+ .c(channel_NO[1]),
+ .d(channel_NO[2]),
+ .e(channel_NO[4]),
+ .o(_al_u190_o));
+ AL_MAP_LUT5 #(
+ .EQN("(C*(B*~((E*~A))*~(D)+B*(E*~A)*~(D)+~(B)*(E*~A)*D+B*(E*~A)*D))"),
+ .INIT(32'h50c000c0))
+ _al_u191 (
+ .a(_al_u188_o),
+ .b(_al_u189_o),
+ .c(n29),
+ .d(channel_NO[3]),
+ .e(_al_u190_o),
+ .o(_al_u191_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*(A*~(B)*~(C)+~(A)*~(B)*C+A*B*C))"),
+ .INIT(16'h0092))
+ _al_u192 (
+ .a(single_digit[0]),
+ .b(single_digit[1]),
+ .c(single_digit[2]),
+ .d(single_digit[3]),
+ .o(_al_u192_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~(~B*~A))"),
+ .INIT(8'he0))
+ _al_u193 (
+ .a(single_digit[1]),
+ .b(single_digit[2]),
+ .c(single_digit[3]),
+ .o(_al_u193_o));
+ AL_MAP_LUT2 #(
+ .EQN("(~B*A)"),
+ .INIT(4'h2))
+ _al_u194 (
+ .a(ctrl_freq[0]),
+ .b(ctrl_freq[1]),
+ .o(_al_u194_o));
+ AL_MAP_LUT4 #(
+ .EQN("(C*~A*~(~D*B))"),
+ .INIT(16'h5010))
+ _al_u195 (
+ .a(_al_u192_o),
+ .b(_al_u193_o),
+ .c(_al_u194_o),
+ .d(seg_pad[3]),
+ .o(_al_u195_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*(A*~(B)*~(C)+~(A)*~(B)*C+A*B*C))"),
+ .INIT(16'h0092))
+ _al_u196 (
+ .a(percentage_digit[0]),
+ .b(percentage_digit[1]),
+ .c(percentage_digit[2]),
+ .d(percentage_digit[3]),
+ .o(_al_u196_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~(~B*~A))"),
+ .INIT(8'he0))
+ _al_u197 (
+ .a(percentage_digit[1]),
+ .b(percentage_digit[2]),
+ .c(percentage_digit[3]),
+ .o(_al_u197_o));
+ AL_MAP_LUT4 #(
+ .EQN("(C*~A*~(~D*B))"),
+ .INIT(16'h5010))
+ _al_u198 (
+ .a(_al_u196_o),
+ .b(_al_u197_o),
+ .c(_al_u105_o),
+ .d(seg_pad[3]),
+ .o(_al_u198_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
+ .INIT(8'h27))
+ _al_u199 (
+ .a(n28_lutinv),
+ .b(ChannelNO_or_FREQ),
+ .c(seg_pad[3]),
+ .o(_al_u199_o));
+ AL_MAP_LUT5 #(
+ .EQN("~(~B*~(~E*~(~D*~C*A)))"),
+ .INIT(32'hccccfffd))
+ _al_u200 (
+ .a(_al_u186_o),
+ .b(_al_u191_o),
+ .c(_al_u195_o),
+ .d(_al_u198_o),
+ .e(_al_u199_o),
+ .o(n117[3]));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(D*~C*B))"),
+ .INIT(16'ha2aa))
+ _al_u201 (
+ .a(n29),
+ .b(\lt2/o_3_lutinv ),
+ .c(seg_pad[0]),
+ .d(channel_NO[4]),
+ .o(_al_u201_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hfb55fcf3))
+ _al_u202 (
+ .a(ctrl_channel_NO),
+ .b(channel_NO[0]),
+ .c(channel_NO[1]),
+ .d(channel_NO[2]),
+ .e(channel_NO[4]),
+ .o(_al_u202_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
+ .INIT(16'ha088))
+ _al_u203 (
+ .a(_al_u201_o),
+ .b(_al_u202_o),
+ .c(_al_u190_o),
+ .d(channel_NO[3]),
+ .o(_al_u203_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hffed03ed))
+ _al_u204 (
+ .a(percentage_digit[0]),
+ .b(percentage_digit[1]),
+ .c(percentage_digit[2]),
+ .d(percentage_digit[3]),
+ .e(seg_pad[0]),
+ .o(n95[0]));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hffed03ed))
+ _al_u205 (
+ .a(frac_digit[0]),
+ .b(frac_digit[1]),
+ .c(frac_digit[2]),
+ .d(frac_digit[3]),
+ .e(seg_pad[0]),
+ .o(n91[0]));
+ AL_MAP_LUT4 #(
+ .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
+ .INIT(16'h0503))
+ _al_u206 (
+ .a(n95[0]),
+ .b(n91[0]),
+ .c(ctrl_freq[0]),
+ .d(ctrl_freq[1]),
+ .o(_al_u206_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hffed03ed))
+ _al_u207 (
+ .a(single_digit[0]),
+ .b(single_digit[1]),
+ .c(single_digit[2]),
+ .d(single_digit[3]),
+ .e(seg_pad[0]),
+ .o(n93[0]));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D)"),
+ .INIT(16'h06f7))
+ _al_u208 (
+ .a(thousand_digit[0]),
+ .b(thousand_digit[2]),
+ .c(thousand_digit[3]),
+ .d(seg_pad[0]),
+ .o(_al_u208_o));
+ AL_MAP_LUT3 #(
+ .EQN("(~(A)*~(B)*~(C)+~(A)*B*~(C)+A*~(B)*C+~(A)*B*C+A*B*C)"),
+ .INIT(8'he5))
+ _al_u209 (
+ .a(thousand_digit[1]),
+ .b(thousand_digit[2]),
+ .c(thousand_digit[3]),
+ .o(_al_u209_o));
+ AL_MAP_LUT5 #(
+ .EQN("(D*(~A*~((C*B))*~(E)+~A*(C*B)*~(E)+~(~A)*(C*B)*E+~A*(C*B)*E))"),
+ .INIT(32'hc0005500))
+ _al_u210 (
+ .a(n93[0]),
+ .b(_al_u208_o),
+ .c(_al_u209_o),
+ .d(ctrl_freq[0]),
+ .e(ctrl_freq[1]),
+ .o(_al_u210_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
+ .INIT(8'hd8))
+ _al_u211 (
+ .a(n28_lutinv),
+ .b(ChannelNO_or_FREQ),
+ .c(seg_pad[0]),
+ .o(_al_u211_o));
+ AL_MAP_LUT5 #(
+ .EQN("~(~A*~(D*~(E*~(~C*~B))))"),
+ .INIT(32'habaaffaa))
+ _al_u212 (
+ .a(_al_u203_o),
+ .b(_al_u206_o),
+ .c(_al_u210_o),
+ .d(_al_u211_o),
+ .e(n89),
+ .o(n117[0]));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D)"),
+ .INIT(16'h0cfa))
+ _al_u213 (
+ .a(_al_u183_o),
+ .b(_al_u197_o),
+ .c(ctrl_freq[0]),
+ .d(ctrl_freq[1]),
+ .o(_al_u213_o));
+ AL_MAP_LUT5 #(
+ .EQN("(E*(~(A)*(~C*~B)*~(D)+~(A)*~((~C*~B))*D+A*~((~C*~B))*D))"),
+ .INIT(32'hfc010000))
+ _al_u214 (
+ .a(thousand_digit[0]),
+ .b(thousand_digit[1]),
+ .c(thousand_digit[2]),
+ .d(thousand_digit[3]),
+ .e(ctrl_freq[0]),
+ .o(_al_u214_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~(C*~B*~A))"),
+ .INIT(16'hef00))
+ _al_u215 (
+ .a(_al_u213_o),
+ .b(_al_u214_o),
+ .c(n89),
+ .d(seg_pad[7]),
+ .o(_al_u215_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(D*C*~B))"),
+ .INIT(16'h8aaa))
+ _al_u216 (
+ .a(n29),
+ .b(_al_u187_o),
+ .c(channel_NO[3]),
+ .d(channel_NO[4]),
+ .o(_al_u216_o));
+ AL_MAP_LUT5 #(
+ .EQN("~(~(E*~D*C)*~(~B*A))"),
+ .INIT(32'h22f22222))
+ _al_u217 (
+ .a(_al_u215_o),
+ .b(_al_u216_o),
+ .c(n89),
+ .d(_al_u193_o),
+ .e(_al_u194_o),
+ .o(n117[7]));
+ AL_MAP_LUT2 #(
+ .EQN("(B*~A)"),
+ .INIT(4'h4))
+ _al_u218 (
+ .a(ctrl_channel_NO),
+ .b(channel_NO[0]),
+ .o(_al_u218_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hccc55fff))
+ _al_u219 (
+ .a(_al_u218_o),
+ .b(seg_pad[1]),
+ .c(channel_NO[1]),
+ .d(channel_NO[2]),
+ .e(channel_NO[4]),
+ .o(_al_u219_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*~(B)*C*~(D)*~(E)+~(A)*B*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*~(B)*C*~(D)*E+~(A)*B*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hfffec3ff))
+ _al_u220 (
+ .a(ctrl_channel_NO),
+ .b(channel_NO[0]),
+ .c(channel_NO[1]),
+ .d(channel_NO[2]),
+ .e(channel_NO[4]),
+ .o(_al_u220_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~(C*~B*~A))"),
+ .INIT(16'hef00))
+ _al_u221 (
+ .a(FM_HW_state[3]),
+ .b(FM_HW_state[2]),
+ .c(FM_HW_state[1]),
+ .d(seg_pad[1]),
+ .o(_al_u221_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~D*~(C*(B*~(A)*~(E)+B*A*~(E)+~(B)*A*E+B*A*E)))"),
+ .INIT(32'h005f003f))
+ _al_u222 (
+ .a(_al_u219_o),
+ .b(_al_u220_o),
+ .c(n29),
+ .d(_al_u221_o),
+ .e(channel_NO[3]),
+ .o(_al_u222_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hff9f039f))
+ _al_u223 (
+ .a(frac_digit[0]),
+ .b(frac_digit[1]),
+ .c(frac_digit[2]),
+ .d(frac_digit[3]),
+ .e(seg_pad[1]),
+ .o(n91[1]));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hff9f039f))
+ _al_u224 (
+ .a(single_digit[0]),
+ .b(single_digit[1]),
+ .c(single_digit[2]),
+ .d(single_digit[3]),
+ .e(seg_pad[1]),
+ .o(n93[1]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
+ .INIT(16'h0035))
+ _al_u225 (
+ .a(n91[1]),
+ .b(n93[1]),
+ .c(ctrl_freq[0]),
+ .d(ctrl_freq[1]),
+ .o(_al_u225_o));
+ AL_MAP_LUT5 #(
+ .EQN("(A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hff9f039e))
+ _al_u226 (
+ .a(thousand_digit[0]),
+ .b(thousand_digit[1]),
+ .c(thousand_digit[2]),
+ .d(thousand_digit[3]),
+ .e(seg_pad[1]),
+ .o(n100[1]));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+~(A)*~(B)*C*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+A*B*C*~(D)*E+~(A)*~(B)*~(C)*D*E+A*~(B)*~(C)*D*E+~(A)*B*~(C)*D*E+A*B*~(C)*D*E+~(A)*~(B)*C*D*E+A*~(B)*C*D*E+~(A)*B*C*D*E+A*B*C*D*E)"),
+ .INIT(32'hff9f039f))
+ _al_u227 (
+ .a(percentage_digit[0]),
+ .b(percentage_digit[1]),
+ .c(percentage_digit[2]),
+ .d(percentage_digit[3]),
+ .e(seg_pad[1]),
+ .o(n95[1]));
+ AL_MAP_LUT4 #(
+ .EQN("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
+ .INIT(16'h5300))
+ _al_u228 (
+ .a(n100[1]),
+ .b(n95[1]),
+ .c(ctrl_freq[0]),
+ .d(ctrl_freq[1]),
+ .o(_al_u228_o));
+ AL_MAP_LUT4 #(
+ .EQN("~(A*~(D*~C*~B))"),
+ .INIT(16'h5755))
+ _al_u229 (
+ .a(_al_u222_o),
+ .b(_al_u225_o),
+ .c(_al_u228_o),
+ .d(n89),
+ .o(n117[1]));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*(A*~(C)*~(B)+A*C*~(B)+~(A)*C*B+A*C*B))"),
+ .INIT(16'h00e2))
+ _al_u230 (
+ .a(channel_NO[0]),
+ .b(channel_NO[1]),
+ .c(channel_NO[2]),
+ .d(channel_NO[3]),
+ .o(_al_u230_o));
+ AL_MAP_LUT5 #(
+ .EQN("(E*~A*(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B))"),
+ .INIT(32'h45010000))
+ _al_u231 (
+ .a(_al_u230_o),
+ .b(\lt2/o_3_lutinv ),
+ .c(ctrl_channel_NO),
+ .d(seg_pad[5]),
+ .e(channel_NO[4]),
+ .o(_al_u231_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*~(B)*~(C)*D*~(E)+A*~(B)*~(C)*D*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*~(B)*~(C)*~(D)*E+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+~(A)*~(B)*C*~(D)*E+~(A)*~(B)*C*D*E+~(A)*B*C*D*E)"),
+ .INIT(32'h501f3f03))
+ _al_u232 (
+ .a(ctrl_channel_NO),
+ .b(channel_NO[0]),
+ .c(channel_NO[1]),
+ .d(channel_NO[2]),
+ .e(channel_NO[3]),
+ .o(_al_u232_o));
+ AL_MAP_LUT4 #(
+ .EQN("(C*~(~A*~(~D*B)))"),
+ .INIT(16'ha0e0))
+ _al_u233 (
+ .a(_al_u231_o),
+ .b(_al_u232_o),
+ .c(n29),
+ .d(channel_NO[4]),
+ .o(_al_u233_o));
+ AL_MAP_LUT5 #(
+ .EQN("(A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*B*C*~(D)*E)"),
+ .INIT(32'h008efc8e))
+ _al_u234 (
+ .a(percentage_digit[0]),
+ .b(percentage_digit[1]),
+ .c(percentage_digit[2]),
+ .d(percentage_digit[3]),
+ .e(seg_pad[5]),
+ .o(_al_u234_o));
+ AL_MAP_LUT5 #(
+ .EQN("(A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*B*C*~(D)*E)"),
+ .INIT(32'h008efc8e))
+ _al_u235 (
+ .a(frac_digit[0]),
+ .b(frac_digit[1]),
+ .c(frac_digit[2]),
+ .d(frac_digit[3]),
+ .e(seg_pad[5]),
+ .o(_al_u235_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
+ .INIT(16'h0503))
+ _al_u236 (
+ .a(_al_u234_o),
+ .b(_al_u235_o),
+ .c(ctrl_freq[0]),
+ .d(ctrl_freq[1]),
+ .o(_al_u236_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)*~(E)+A*~(B)*~(C)*~(D)*~(E)+~(A)*B*~(C)*~(D)*~(E)+A*B*~(C)*~(D)*~(E)+A*B*C*~(D)*~(E)+~(A)*B*~(C)*D*~(E)+A*B*~(C)*D*~(E)+~(A)*~(B)*C*D*~(E)+A*~(B)*C*D*~(E)+~(A)*B*C*D*~(E)+A*B*C*D*~(E)+A*~(B)*~(C)*~(D)*E+~(A)*B*~(C)*~(D)*E+A*B*~(C)*~(D)*E+A*B*C*~(D)*E)"),
+ .INIT(32'h008efc8f))
+ _al_u237 (
+ .a(thousand_digit[0]),
+ .b(thousand_digit[1]),
+ .c(thousand_digit[2]),
+ .d(thousand_digit[3]),
+ .e(seg_pad[5]),
+ .o(_al_u237_o));
+ AL_MAP_LUT4 #(
+ .EQN("(B*~(D*C*~A))"),
+ .INIT(16'h8ccc))
+ _al_u238 (
+ .a(_al_u237_o),
+ .b(n89),
+ .c(ctrl_freq[0]),
+ .d(ctrl_freq[1]),
+ .o(_al_u238_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*(A*~(B)*~(C)+~(A)*B*~(C)+A*B*~(C)+A*B*C))"),
+ .INIT(16'h008e))
+ _al_u239 (
+ .a(single_digit[0]),
+ .b(single_digit[1]),
+ .c(single_digit[2]),
+ .d(single_digit[3]),
+ .o(_al_u239_o));
+ AL_MAP_LUT4 #(
+ .EQN("(C*~A*~(~D*B))"),
+ .INIT(16'h5010))
+ _al_u240 (
+ .a(_al_u239_o),
+ .b(_al_u193_o),
+ .c(_al_u194_o),
+ .d(seg_pad[5]),
+ .o(_al_u240_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)"),
+ .INIT(8'hd8))
+ _al_u241 (
+ .a(n28_lutinv),
+ .b(ChannelNO_or_FREQ),
+ .c(seg_pad[5]),
+ .o(_al_u241_o));
+ AL_MAP_LUT5 #(
+ .EQN("~(~A*~(E*~(~D*C*~B)))"),
+ .INIT(32'hffefaaaa))
+ _al_u242 (
+ .a(_al_u233_o),
+ .b(_al_u236_o),
+ .c(_al_u238_o),
+ .d(_al_u240_o),
+ .e(_al_u241_o),
+ .o(n117[5]));
+ AL_MAP_LUT1 #(
+ .EQN("(~A)"),
+ .INIT(2'h1))
+ _al_u243 (
+ .a(ChannelNO_or_FREQ),
+ .o(n27));
+ AL_MAP_LUT1 #(
+ .EQN("(~A)"),
+ .INIT(2'h1))
+ _al_u244 (
+ .a(ctrl_freq[0]),
+ .o(n112[0]));
+ AL_MAP_LUT1 #(
+ .EQN("(~A)"),
+ .INIT(2'h1))
+ _al_u245 (
+ .a(ctrl_channel_NO),
+ .o(n87));
+ EG_PHY_PAD #(
+ //.LOCATION("C8"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u3 (
+ .do({open_n87,open_n88,open_n89,seg_pad[7]}),
+ .opad(seg[7])); // ../rtl/peripherals/FM_Display.v(13)
+ EG_PHY_PAD #(
+ //.LOCATION("A8"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u4 (
+ .do({open_n104,open_n105,open_n106,seg_pad[6]}),
+ .opad(seg[6])); // ../rtl/peripherals/FM_Display.v(13)
+ EG_PHY_PAD #(
+ //.LOCATION("B5"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u5 (
+ .do({open_n121,open_n122,open_n123,seg_pad[5]}),
+ .opad(seg[5])); // ../rtl/peripherals/FM_Display.v(13)
+ EG_PHY_PAD #(
+ //.LOCATION("A7"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u6 (
+ .do({open_n138,open_n139,open_n140,seg_pad[4]}),
+ .opad(seg[4])); // ../rtl/peripherals/FM_Display.v(13)
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*~B*~A)"),
+ .INIT(16'h1000))
+ _al_u67 (
+ .a(FM_HW_state[3]),
+ .b(FM_HW_state[2]),
+ .c(FM_HW_state[1]),
+ .d(ChannelNO_or_FREQ),
+ .o(n89));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*C*~B*~A)"),
+ .INIT(16'h0010))
+ _al_u68 (
+ .a(FM_HW_state[3]),
+ .b(FM_HW_state[2]),
+ .c(FM_HW_state[1]),
+ .d(ChannelNO_or_FREQ),
+ .o(n29));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u69 (
+ .a(wraddr[10]),
+ .b(wraddr[9]),
+ .c(wraddr[8]),
+ .d(wraddr[7]),
+ .o(_al_u69_o));
+ EG_PHY_PAD #(
+ //.LOCATION("E8"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u7 (
+ .do({open_n155,open_n156,open_n157,seg_pad[3]}),
+ .opad(seg[3])); // ../rtl/peripherals/FM_Display.v(13)
+ AL_MAP_LUT5 #(
+ .EQN("(E*~D*~C*~B*A)"),
+ .INIT(32'h00020000))
+ _al_u70 (
+ .a(_al_u69_o),
+ .b(wraddr[6]),
+ .c(wraddr[5]),
+ .d(wraddr[4]),
+ .e(wraddr[3]),
+ .o(_al_u70_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*A)"),
+ .INIT(16'h0002))
+ _al_u71 (
+ .a(_al_u70_o),
+ .b(wraddr[2]),
+ .c(wraddr[1]),
+ .d(wraddr[0]),
+ .o(_al_u71_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*B*A)"),
+ .INIT(16'h0008))
+ _al_u72 (
+ .a(wea[1]),
+ .b(wea[0]),
+ .c(wraddr[12]),
+ .d(wraddr[11]),
+ .o(_al_u72_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*B*A)"),
+ .INIT(16'h8000))
+ _al_u73 (
+ .a(_al_u71_o),
+ .b(_al_u72_o),
+ .c(wea[3]),
+ .d(wea[2]),
+ .o(n3));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u74 (
+ .a(thousand_digit[0]),
+ .b(thousand_digit[1]),
+ .c(thousand_digit[2]),
+ .d(thousand_digit[3]),
+ .o(_al_u74_o));
+ AL_MAP_LUT4 #(
+ .EQN("~(C*~(D*~(~B*A)))"),
+ .INIT(16'hdf0f))
+ _al_u75 (
+ .a(_al_u74_o),
+ .b(sel_pad[1]),
+ .c(ctrl_freq[0]),
+ .d(ctrl_freq[1]),
+ .o(n110[1]));
+ AL_MAP_LUT4 #(
+ .EQN("(~((~B*A))*C*~(D)+(~B*A)*C*~(D)+~((~B*A))*~(C)*D+(~B*A)*~(C)*D+~((~B*A))*C*D)"),
+ .INIT(16'hdff0))
+ _al_u76 (
+ .a(_al_u74_o),
+ .b(sel_pad[0]),
+ .c(ctrl_freq[0]),
+ .d(ctrl_freq[1]),
+ .o(n110[0]));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~(~B*~A))"),
+ .INIT(8'he0))
+ _al_u77 (
+ .a(channel_NO[1]),
+ .b(channel_NO[2]),
+ .c(channel_NO[3]),
+ .o(\lt2/o_3_lutinv ));
+ AL_MAP_LUT4 #(
+ .EQN("(C*A*~(~D*~B))"),
+ .INIT(16'ha080))
+ _al_u78 (
+ .a(n29),
+ .b(\lt2/o_3_lutinv ),
+ .c(ctrl_channel_NO),
+ .d(channel_NO[4]),
+ .o(_al_u78_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*~B*~A)"),
+ .INIT(8'h10))
+ _al_u79 (
+ .a(FM_HW_state[3]),
+ .b(FM_HW_state[2]),
+ .c(FM_HW_state[1]),
+ .o(n28_lutinv));
+ EG_PHY_PAD #(
+ //.LOCATION("B8"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u8 (
+ .do({open_n172,open_n173,open_n174,seg_pad[2]}),
+ .opad(seg[2])); // ../rtl/peripherals/FM_Display.v(13)
+ AL_MAP_LUT4 #(
+ .EQN("(~A*~(B*~(D*C)))"),
+ .INIT(16'h5111))
+ _al_u80 (
+ .a(n29),
+ .b(n28_lutinv),
+ .c(ctrl_freq[0]),
+ .d(ctrl_freq[1]),
+ .o(_al_u80_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~A*~(B*~(E*~(~D*C))))"),
+ .INIT(32'h55151111))
+ _al_u81 (
+ .a(_al_u78_o),
+ .b(_al_u80_o),
+ .c(n89),
+ .d(_al_u74_o),
+ .e(sel_pad[3]),
+ .o(n116[3]));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*~B*A)"),
+ .INIT(16'h2000))
+ _al_u82 (
+ .a(counter_1Khz[6]),
+ .b(counter_1Khz[7]),
+ .c(counter_1Khz[8]),
+ .d(counter_1Khz[9]),
+ .o(_al_u82_o));
+ AL_MAP_LUT5 #(
+ .EQN("(~E*D*~C*~B*A)"),
+ .INIT(32'h00000200))
+ _al_u83 (
+ .a(_al_u82_o),
+ .b(counter_1Khz[2]),
+ .c(counter_1Khz[3]),
+ .d(counter_1Khz[4]),
+ .e(counter_1Khz[5]),
+ .o(_al_u83_o));
+ AL_MAP_LUT4 #(
+ .EQN("(D*C*~B*~A)"),
+ .INIT(16'h1000))
+ _al_u84 (
+ .a(counter_1Khz[12]),
+ .b(counter_1Khz[13]),
+ .c(counter_1Khz[14]),
+ .d(counter_1Khz[15]),
+ .o(_al_u84_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~D*~C*~B*~A)"),
+ .INIT(16'h0001))
+ _al_u85 (
+ .a(counter_1Khz[0]),
+ .b(counter_1Khz[1]),
+ .c(counter_1Khz[10]),
+ .d(counter_1Khz[11]),
+ .o(_al_u85_o));
+ AL_MAP_LUT3 #(
+ .EQN("(C*B*A)"),
+ .INIT(8'h80))
+ _al_u86 (
+ .a(_al_u83_o),
+ .b(_al_u84_o),
+ .c(_al_u85_o),
+ .o(_al_u86_o));
+ AL_MAP_LUT3 #(
+ .EQN("~(~A*~(~C*B))"),
+ .INIT(8'hae))
+ _al_u87 (
+ .a(_al_u86_o),
+ .b(clk_1KHz),
+ .c(n19),
+ .o(n23));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u88 (
+ .a(_al_u86_o),
+ .b(n20[9]),
+ .c(n19),
+ .d(counter_1Khz[9]),
+ .o(n24[9]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u89 (
+ .a(_al_u86_o),
+ .b(n20[8]),
+ .c(n19),
+ .d(counter_1Khz[8]),
+ .o(n24[8]));
+ EG_PHY_PAD #(
+ //.LOCATION("A6"),
+ //.PCICLAMP("OFF"),
+ //.PULLMODE("NONE"),
+ //.SLEWRATE("SLOW"),
+ .DRIVE("8"),
+ .IOTYPE("LVCMOS33"),
+ .MODE("OUT"),
+ .TSMUX("0"))
+ _al_u9 (
+ .do({open_n189,open_n190,open_n191,seg_pad[1]}),
+ .opad(seg[1])); // ../rtl/peripherals/FM_Display.v(13)
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u90 (
+ .a(_al_u86_o),
+ .b(n20[7]),
+ .c(n19),
+ .d(counter_1Khz[7]),
+ .o(n24[7]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u91 (
+ .a(_al_u86_o),
+ .b(n20[6]),
+ .c(n19),
+ .d(counter_1Khz[6]),
+ .o(n24[6]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u92 (
+ .a(_al_u86_o),
+ .b(n20[5]),
+ .c(n19),
+ .d(counter_1Khz[5]),
+ .o(n24[5]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u93 (
+ .a(_al_u86_o),
+ .b(n20[4]),
+ .c(n19),
+ .d(counter_1Khz[4]),
+ .o(n24[4]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u94 (
+ .a(_al_u86_o),
+ .b(n20[3]),
+ .c(n19),
+ .d(counter_1Khz[3]),
+ .o(n24[3]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u95 (
+ .a(_al_u86_o),
+ .b(n20[2]),
+ .c(n19),
+ .d(counter_1Khz[2]),
+ .o(n24[2]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u96 (
+ .a(_al_u86_o),
+ .b(n20[15]),
+ .c(n19),
+ .d(counter_1Khz[15]),
+ .o(n24[15]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u97 (
+ .a(_al_u86_o),
+ .b(n20[14]),
+ .c(n19),
+ .d(counter_1Khz[14]),
+ .o(n24[14]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u98 (
+ .a(_al_u86_o),
+ .b(n20[13]),
+ .c(n19),
+ .d(counter_1Khz[13]),
+ .o(n24[13]));
+ AL_MAP_LUT4 #(
+ .EQN("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
+ .INIT(16'h4540))
+ _al_u99 (
+ .a(_al_u86_o),
+ .b(n20[12]),
+ .c(n19),
+ .d(counter_1Khz[12]),
+ .o(n24[12]));
+ EG_PHY_LSLICE #(
+ //.MACRO("add0/ucin_al_u246"),
+ //.R_POSITION("X0Y1Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \add0/u11_al_u249 (
+ .a({conter[13],conter[11]}),
+ .b({conter[14],conter[12]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\add0/c11 ),
+ .f({n12[13],n12[11]}),
+ .fco(\add0/c15 ),
+ .fx({n12[14],n12[12]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("add0/ucin_al_u246"),
+ //.R_POSITION("X0Y2Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \add0/u15_al_u250 (
+ .a({conter[17],conter[15]}),
+ .b({conter[18],conter[16]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\add0/c15 ),
+ .f({n12[17],n12[15]}),
+ .fco(\add0/c19 ),
+ .fx({n12[18],n12[16]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("add0/ucin_al_u246"),
+ //.R_POSITION("X0Y2Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \add0/u19_al_u251 (
+ .a({conter[21],conter[19]}),
+ .b({conter[22],conter[20]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\add0/c19 ),
+ .f({n12[21],n12[19]}),
+ .fco(\add0/c23 ),
+ .fx({n12[22],n12[20]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("add0/ucin_al_u246"),
+ //.R_POSITION("X0Y3Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \add0/u23_al_u252 (
+ .a({conter[25],conter[23]}),
+ .b({open_n259,conter[24]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e({open_n262,1'b0}),
+ .fci(\add0/c23 ),
+ .f({n12[25],n12[23]}),
+ .fx({open_n278,n12[24]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("add0/ucin_al_u246"),
+ //.R_POSITION("X0Y0Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \add0/u3_al_u247 (
+ .a({conter[5],conter[3]}),
+ .b({conter[6],conter[4]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\add0/c3 ),
+ .f({n12[5],n12[3]}),
+ .fco(\add0/c7 ),
+ .fx({n12[6],n12[4]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("add0/ucin_al_u246"),
+ //.R_POSITION("X0Y1Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \add0/u7_al_u248 (
+ .a({conter[9],conter[7]}),
+ .b({conter[10],conter[8]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\add0/c7 ),
+ .f({n12[9],n12[7]}),
+ .fco(\add0/c11 ),
+ .fx({n12[10],n12[8]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("add0/ucin_al_u246"),
+ //.R_POSITION("X0Y0Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'h000A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \add0/ucin_al_u246 (
+ .a({conter[1],1'b0}),
+ .b({conter[2],conter[0]}),
+ .c(2'b00),
+ .d(2'b01),
+ .e(2'b01),
+ .f({n12[1],open_n334}),
+ .fco(\add0/c3 ),
+ .fx({n12[2],n12[0]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("add1/ucin_al_u253"),
+ //.R_POSITION("X0Y1Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \add1/u11_al_u256 (
+ .a({counter_1Khz[13],counter_1Khz[11]}),
+ .b({counter_1Khz[14],counter_1Khz[12]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\add1/c11 ),
+ .f({n20[13],n20[11]}),
+ .fco(\add1/c15 ),
+ .fx({n20[14],n20[12]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("add1/ucin_al_u253"),
+ //.R_POSITION("X0Y2Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \add1/u15_al_u257 (
+ .a({open_n355,counter_1Khz[15]}),
+ .c(2'b00),
+ .d({open_n360,1'b0}),
+ .fci(\add1/c15 ),
+ .f({open_n377,n20[15]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("add1/ucin_al_u253"),
+ //.R_POSITION("X0Y0Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \add1/u3_al_u254 (
+ .a({counter_1Khz[5],counter_1Khz[3]}),
+ .b({counter_1Khz[6],counter_1Khz[4]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\add1/c3 ),
+ .f({n20[5],n20[3]}),
+ .fco(\add1/c7 ),
+ .fx({n20[6],n20[4]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("add1/ucin_al_u253"),
+ //.R_POSITION("X0Y1Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \add1/u7_al_u255 (
+ .a({counter_1Khz[9],counter_1Khz[7]}),
+ .b({counter_1Khz[10],counter_1Khz[8]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\add1/c7 ),
+ .f({n20[9],n20[7]}),
+ .fco(\add1/c11 ),
+ .fx({n20[10],n20[8]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("add1/ucin_al_u253"),
+ //.R_POSITION("X0Y0Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'h000A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \add1/ucin_al_u253 (
+ .a({counter_1Khz[1],1'b0}),
+ .b({counter_1Khz[2],counter_1Khz[0]}),
+ .c(2'b00),
+ .d(2'b01),
+ .e(2'b01),
+ .f({n20[1],open_n436}),
+ .fco(\add1/c3 ),
+ .fx({n20[2],n20[0]}));
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ clk_1Hz_reg (
+ .clk(clk),
+ .d(n15),
+ .sr(RSTn),
+ .q(clk_1Hz)); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ clk_1KHz_reg (
+ .clk(clk),
+ .d(n23),
+ .sr(RSTn),
+ .q(clk_1KHz)); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ ctrl_channel_NO_reg (
+ .ce(n29),
+ .clk(clk_1KHz),
+ .d(n87),
+ .q(ctrl_channel_NO)); // ../rtl/peripherals/FM_Display.v(99)
+ EG_PHY_MSLICE #(
+ //.MACRO("lt0_0|lt0_cin"),
+ //.R_POSITION("X0Y0Z0"),
+ .ALUTYPE("A_LE_B_CARRY"),
+ .INIT_LUT0(16'b0000000000001010),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt0_0|lt0_cin (
+ .a({conter[0],1'b0}),
+ .b({1'b0,open_n442}),
+ .fco(lt0_c1));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt0_0|lt0_cin"),
+ //.R_POSITION("X0Y2Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt0_10|lt0_9 (
+ .a(conter[10:9]),
+ .b(2'b00),
+ .fci(lt0_c9),
+ .fco(lt0_c11));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt0_0|lt0_cin"),
+ //.R_POSITION("X0Y3Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt0_12|lt0_11 (
+ .a(conter[12:11]),
+ .b(2'b10),
+ .fci(lt0_c11),
+ .fco(lt0_c13));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt0_0|lt0_cin"),
+ //.R_POSITION("X0Y3Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt0_14|lt0_13 (
+ .a(conter[14:13]),
+ .b(2'b11),
+ .fci(lt0_c13),
+ .fco(lt0_c15));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt0_0|lt0_cin"),
+ //.R_POSITION("X0Y4Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt0_16|lt0_15 (
+ .a(conter[16:15]),
+ .b(2'b01),
+ .fci(lt0_c15),
+ .fco(lt0_c17));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt0_0|lt0_cin"),
+ //.R_POSITION("X0Y4Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt0_18|lt0_17 (
+ .a(conter[18:17]),
+ .b(2'b01),
+ .fci(lt0_c17),
+ .fco(lt0_c19));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt0_0|lt0_cin"),
+ //.R_POSITION("X0Y5Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt0_20|lt0_19 (
+ .a(conter[20:19]),
+ .b(2'b11),
+ .fci(lt0_c19),
+ .fco(lt0_c21));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt0_0|lt0_cin"),
+ //.R_POSITION("X0Y5Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt0_22|lt0_21 (
+ .a(conter[22:21]),
+ .b(2'b11),
+ .fci(lt0_c21),
+ .fco(lt0_c23));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt0_0|lt0_cin"),
+ //.R_POSITION("X0Y6Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt0_24|lt0_23 (
+ .a(conter[24:23]),
+ .b(2'b01),
+ .fci(lt0_c23),
+ .fco(lt0_c25));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt0_0|lt0_cin"),
+ //.R_POSITION("X0Y0Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt0_2|lt0_1 (
+ .a(conter[2:1]),
+ .b(2'b00),
+ .fci(lt0_c1),
+ .fco(lt0_c3));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt0_0|lt0_cin"),
+ //.R_POSITION("X0Y1Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt0_4|lt0_3 (
+ .a(conter[4:3]),
+ .b(2'b00),
+ .fci(lt0_c3),
+ .fco(lt0_c5));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt0_0|lt0_cin"),
+ //.R_POSITION("X0Y1Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt0_6|lt0_5 (
+ .a(conter[6:5]),
+ .b(2'b00),
+ .fci(lt0_c5),
+ .fco(lt0_c7));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt0_0|lt0_cin"),
+ //.R_POSITION("X0Y2Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt0_8|lt0_7 (
+ .a(conter[8:7]),
+ .b(2'b01),
+ .fci(lt0_c7),
+ .fco(lt0_c9));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt0_0|lt0_cin"),
+ //.R_POSITION("X0Y6Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt0_cout|lt0_25 (
+ .a({1'b0,conter[25]}),
+ .b(2'b11),
+ .fci(lt0_c25),
+ .f({n11,open_n774}));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt1_0|lt1_cin"),
+ //.R_POSITION("X0Y0Z0"),
+ .ALUTYPE("A_LE_B_CARRY"),
+ .INIT_LUT0(16'b0000000000001010),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt1_0|lt1_cin (
+ .a({counter_1Khz[0],1'b0}),
+ .b({1'b0,open_n780}),
+ .fco(lt1_c1));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt1_0|lt1_cin"),
+ //.R_POSITION("X0Y2Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt1_10|lt1_9 (
+ .a(counter_1Khz[10:9]),
+ .b(2'b01),
+ .fci(lt1_c9),
+ .fco(lt1_c11));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt1_0|lt1_cin"),
+ //.R_POSITION("X0Y3Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt1_12|lt1_11 (
+ .a(counter_1Khz[12:11]),
+ .b(2'b00),
+ .fci(lt1_c11),
+ .fco(lt1_c13));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt1_0|lt1_cin"),
+ //.R_POSITION("X0Y3Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt1_14|lt1_13 (
+ .a(counter_1Khz[14:13]),
+ .b(2'b10),
+ .fci(lt1_c13),
+ .fco(lt1_c15));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt1_0|lt1_cin"),
+ //.R_POSITION("X0Y0Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt1_2|lt1_1 (
+ .a(counter_1Khz[2:1]),
+ .b(2'b00),
+ .fci(lt1_c1),
+ .fco(lt1_c3));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt1_0|lt1_cin"),
+ //.R_POSITION("X0Y1Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt1_4|lt1_3 (
+ .a(counter_1Khz[4:3]),
+ .b(2'b10),
+ .fci(lt1_c3),
+ .fco(lt1_c5));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt1_0|lt1_cin"),
+ //.R_POSITION("X0Y1Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt1_6|lt1_5 (
+ .a(counter_1Khz[6:5]),
+ .b(2'b10),
+ .fci(lt1_c5),
+ .fco(lt1_c7));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt1_0|lt1_cin"),
+ //.R_POSITION("X0Y2Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt1_8|lt1_7 (
+ .a(counter_1Khz[8:7]),
+ .b(2'b10),
+ .fci(lt1_c7),
+ .fco(lt1_c9));
+ EG_PHY_MSLICE #(
+ //.MACRO("lt1_0|lt1_cin"),
+ //.R_POSITION("X0Y4Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \lt1_cout|lt1_15 (
+ .a({1'b0,counter_1Khz[15]}),
+ .b(2'b11),
+ .fci(lt1_c15),
+ .f({n19,open_n992}));
+ AL_MAP_LUT2 #(
+ .EQN("(A*~B+~A*B)"),
+ .INIT(4'b0110))
+ mux29_oh_b1_rom0 (
+ .a(ctrl_freq[0]),
+ .b(ctrl_freq[1]),
+ .o(n112[1]));
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg0_b0 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[5]),
+ .sr(RSTn),
+ .q(frac_digit[0])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg0_b1 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[6]),
+ .sr(RSTn),
+ .q(frac_digit[1])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg0_b2 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[7]),
+ .sr(RSTn),
+ .q(frac_digit[2])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg0_b3 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[8]),
+ .sr(RSTn),
+ .q(frac_digit[3])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg1_b0 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[9]),
+ .sr(RSTn),
+ .q(single_digit[0])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg1_b1 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[10]),
+ .sr(RSTn),
+ .q(single_digit[1])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg1_b2 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[11]),
+ .sr(RSTn),
+ .q(single_digit[2])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg1_b3 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[12]),
+ .sr(RSTn),
+ .q(single_digit[3])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg2_b0 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[13]),
+ .sr(RSTn),
+ .q(percentage_digit[0])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg2_b1 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[14]),
+ .sr(RSTn),
+ .q(percentage_digit[1])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg2_b2 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[15]),
+ .sr(RSTn),
+ .q(percentage_digit[2])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg2_b3 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[16]),
+ .sr(RSTn),
+ .q(percentage_digit[3])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg3_b0 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[17]),
+ .sr(RSTn),
+ .q(thousand_digit[0])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg3_b1 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[18]),
+ .sr(RSTn),
+ .q(thousand_digit[1])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg3_b2 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[19]),
+ .sr(RSTn),
+ .q(thousand_digit[2])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg3_b3 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[20]),
+ .sr(RSTn),
+ .q(thousand_digit[3])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b0 (
+ .clk(clk),
+ .d(n16[0]),
+ .sr(RSTn),
+ .q(conter[0])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b1 (
+ .clk(clk),
+ .d(n16[1]),
+ .sr(RSTn),
+ .q(conter[1])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b10 (
+ .clk(clk),
+ .d(n16[10]),
+ .sr(RSTn),
+ .q(conter[10])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b11 (
+ .clk(clk),
+ .d(n16[11]),
+ .sr(RSTn),
+ .q(conter[11])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b12 (
+ .clk(clk),
+ .d(n16[12]),
+ .sr(RSTn),
+ .q(conter[12])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b13 (
+ .clk(clk),
+ .d(n16[13]),
+ .sr(RSTn),
+ .q(conter[13])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b14 (
+ .clk(clk),
+ .d(n16[14]),
+ .sr(RSTn),
+ .q(conter[14])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b15 (
+ .clk(clk),
+ .d(n16[15]),
+ .sr(RSTn),
+ .q(conter[15])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b16 (
+ .clk(clk),
+ .d(n16[16]),
+ .sr(RSTn),
+ .q(conter[16])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b17 (
+ .clk(clk),
+ .d(n16[17]),
+ .sr(RSTn),
+ .q(conter[17])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b18 (
+ .clk(clk),
+ .d(n16[18]),
+ .sr(RSTn),
+ .q(conter[18])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b19 (
+ .clk(clk),
+ .d(n16[19]),
+ .sr(RSTn),
+ .q(conter[19])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b2 (
+ .clk(clk),
+ .d(n16[2]),
+ .sr(RSTn),
+ .q(conter[2])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b20 (
+ .clk(clk),
+ .d(n16[20]),
+ .sr(RSTn),
+ .q(conter[20])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b21 (
+ .clk(clk),
+ .d(n16[21]),
+ .sr(RSTn),
+ .q(conter[21])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b22 (
+ .clk(clk),
+ .d(n16[22]),
+ .sr(RSTn),
+ .q(conter[22])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b23 (
+ .clk(clk),
+ .d(n16[23]),
+ .sr(RSTn),
+ .q(conter[23])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b24 (
+ .clk(clk),
+ .d(n16[24]),
+ .sr(RSTn),
+ .q(conter[24])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b25 (
+ .clk(clk),
+ .d(n16[25]),
+ .sr(RSTn),
+ .q(conter[25])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b3 (
+ .clk(clk),
+ .d(n16[3]),
+ .sr(RSTn),
+ .q(conter[3])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b4 (
+ .clk(clk),
+ .d(n16[4]),
+ .sr(RSTn),
+ .q(conter[4])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b5 (
+ .clk(clk),
+ .d(n16[5]),
+ .sr(RSTn),
+ .q(conter[5])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b6 (
+ .clk(clk),
+ .d(n16[6]),
+ .sr(RSTn),
+ .q(conter[6])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b7 (
+ .clk(clk),
+ .d(n16[7]),
+ .sr(RSTn),
+ .q(conter[7])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b8 (
+ .clk(clk),
+ .d(n16[8]),
+ .sr(RSTn),
+ .q(conter[8])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg4_b9 (
+ .clk(clk),
+ .d(n16[9]),
+ .sr(RSTn),
+ .q(conter[9])); // ../rtl/peripherals/FM_Display.v(48)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b0 (
+ .clk(clk),
+ .d(n24[0]),
+ .sr(RSTn),
+ .q(counter_1Khz[0])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b1 (
+ .clk(clk),
+ .d(n24[1]),
+ .sr(RSTn),
+ .q(counter_1Khz[1])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b10 (
+ .clk(clk),
+ .d(n24[10]),
+ .sr(RSTn),
+ .q(counter_1Khz[10])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b11 (
+ .clk(clk),
+ .d(n24[11]),
+ .sr(RSTn),
+ .q(counter_1Khz[11])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b12 (
+ .clk(clk),
+ .d(n24[12]),
+ .sr(RSTn),
+ .q(counter_1Khz[12])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b13 (
+ .clk(clk),
+ .d(n24[13]),
+ .sr(RSTn),
+ .q(counter_1Khz[13])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b14 (
+ .clk(clk),
+ .d(n24[14]),
+ .sr(RSTn),
+ .q(counter_1Khz[14])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b15 (
+ .clk(clk),
+ .d(n24[15]),
+ .sr(RSTn),
+ .q(counter_1Khz[15])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b2 (
+ .clk(clk),
+ .d(n24[2]),
+ .sr(RSTn),
+ .q(counter_1Khz[2])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b3 (
+ .clk(clk),
+ .d(n24[3]),
+ .sr(RSTn),
+ .q(counter_1Khz[3])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b4 (
+ .clk(clk),
+ .d(n24[4]),
+ .sr(RSTn),
+ .q(counter_1Khz[4])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b5 (
+ .clk(clk),
+ .d(n24[5]),
+ .sr(RSTn),
+ .q(counter_1Khz[5])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b6 (
+ .clk(clk),
+ .d(n24[6]),
+ .sr(RSTn),
+ .q(counter_1Khz[6])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b7 (
+ .clk(clk),
+ .d(n24[7]),
+ .sr(RSTn),
+ .q(counter_1Khz[7])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b8 (
+ .clk(clk),
+ .d(n24[8]),
+ .sr(RSTn),
+ .q(counter_1Khz[8])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg5_b9 (
+ .clk(clk),
+ .d(n24[9]),
+ .sr(RSTn),
+ .q(counter_1Khz[9])); // ../rtl/peripherals/FM_Display.v(68)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ reg6_b0 (
+ .ce(n89),
+ .clk(clk_1KHz),
+ .d(n110[0]),
+ .sr(n29),
+ .q(sel_pad[0])); // ../rtl/peripherals/FM_Display.v(99)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("SYNC"),
+ .SRMUX("SR"))
+ reg6_b1 (
+ .ce(n89),
+ .clk(clk_1KHz),
+ .d(n110[1]),
+ .sr(n29),
+ .q(sel_pad[1])); // ../rtl/peripherals/FM_Display.v(99)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ reg6_b2 (
+ .clk(clk_1KHz),
+ .d(n116[2]),
+ .q(sel_pad[2])); // ../rtl/peripherals/FM_Display.v(99)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ reg6_b3 (
+ .clk(clk_1KHz),
+ .d(n116[3]),
+ .q(sel_pad[3])); // ../rtl/peripherals/FM_Display.v(99)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ reg7_b0 (
+ .clk(clk_1KHz),
+ .d(n117[0]),
+ .q(seg_pad[0])); // ../rtl/peripherals/FM_Display.v(99)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ reg7_b1 (
+ .clk(clk_1KHz),
+ .d(n117[1]),
+ .q(seg_pad[1])); // ../rtl/peripherals/FM_Display.v(99)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ reg7_b2 (
+ .clk(clk_1KHz),
+ .d(n117[2]),
+ .q(seg_pad[2])); // ../rtl/peripherals/FM_Display.v(99)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ reg7_b3 (
+ .clk(clk_1KHz),
+ .d(n117[3]),
+ .q(seg_pad[3])); // ../rtl/peripherals/FM_Display.v(99)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ reg7_b4 (
+ .clk(clk_1KHz),
+ .d(n117[4]),
+ .q(seg_pad[4])); // ../rtl/peripherals/FM_Display.v(99)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ reg7_b5 (
+ .clk(clk_1KHz),
+ .d(n117[5]),
+ .q(seg_pad[5])); // ../rtl/peripherals/FM_Display.v(99)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ reg7_b6 (
+ .clk(clk_1KHz),
+ .d(n117[6]),
+ .q(seg_pad[6])); // ../rtl/peripherals/FM_Display.v(99)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ reg7_b7 (
+ .clk(clk_1KHz),
+ .d(n117[7]),
+ .q(seg_pad[7])); // ../rtl/peripherals/FM_Display.v(99)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ reg8_b0 (
+ .ce(n89),
+ .clk(clk_1KHz),
+ .d(n112[0]),
+ .q(ctrl_freq[0])); // ../rtl/peripherals/FM_Display.v(99)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ reg8_b1 (
+ .ce(n89),
+ .clk(clk_1KHz),
+ .d(n112[1]),
+ .q(ctrl_freq[1])); // ../rtl/peripherals/FM_Display.v(99)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg9_b0 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[0]),
+ .sr(RSTn),
+ .q(channel_NO[0])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg9_b1 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[1]),
+ .sr(RSTn),
+ .q(channel_NO[1])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg9_b2 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[2]),
+ .sr(RSTn),
+ .q(channel_NO[2])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg9_b3 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[3]),
+ .sr(RSTn),
+ .q(channel_NO[3])); // ../rtl/peripherals/FM_Display.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ reg9_b4 (
+ .ce(n3),
+ .clk(clk),
+ .d(wdata[4]),
+ .sr(RSTn),
+ .q(channel_NO[4])); // ../rtl/peripherals/FM_Display.v(30)
+
+endmodule
+
+module FM_HW // ../rtl/demodulation/FM_HW.v(2)
+ (
+ ADC_start,
+ RSTn,
+ clk,
+ rdaddr,
+ wdata,
+ wea,
+ wraddr,
+ Demo_Dump_Done_Interrupt,
+ FM_HW_state,
+ IQ_Write_Done_interrupt,
+ LED_Out,
+ RSSI_interrupt,
+ audio_pwm,
+ rdata
+ );
+
+ input ADC_start; // ../rtl/demodulation/FM_HW.v(6)
+ input RSTn; // ../rtl/demodulation/FM_HW.v(7)
+ input clk; // ../rtl/demodulation/FM_HW.v(5)
+ input [12:0] rdaddr; // ../rtl/demodulation/FM_HW.v(11)
+ input [31:0] wdata; // ../rtl/demodulation/FM_HW.v(12)
+ input [3:0] wea; // ../rtl/demodulation/FM_HW.v(13)
+ input [12:0] wraddr; // ../rtl/demodulation/FM_HW.v(10)
+ output Demo_Dump_Done_Interrupt; // ../rtl/demodulation/FM_HW.v(18)
+ output [3:0] FM_HW_state; // ../rtl/demodulation/FM_HW.v(15)
+ output IQ_Write_Done_interrupt; // ../rtl/demodulation/FM_HW.v(17)
+ output [7:0] LED_Out; // ../rtl/demodulation/FM_HW.v(8)
+ output RSSI_interrupt; // ../rtl/demodulation/FM_HW.v(16)
+ output audio_pwm; // ../rtl/demodulation/FM_HW.v(19)
+ output [31:0] rdata; // ../rtl/demodulation/FM_HW.v(14)
+
+ parameter FM_ADDR_WIDTH = 13;
+ // localparam FM_HW_STATE_IDLE = 4'b0000;
+ // localparam FM_HW_STATE_RCEV = 4'b0010;
+ // localparam FM_HW_STATE_RSSI = 4'b0100;
+ // localparam FM_HW_STATE_RSSI_DONE = 4'b1000;
+ // localparam dumpIQ_or_audio = 1'b1;
+ wire [11:0] ADC_Data; // ../rtl/demodulation/FM_HW.v(106)
+ wire [11:0] \Audio_PWM/cnt ; // ../rtl/peripherals/Audio_PWM.v(14)
+ wire [11:0] \Audio_PWM/n2 ;
+ wire [11:0] \Audio_PWM/n3 ;
+ wire [2:0] Channel; // ../rtl/demodulation/FM_HW.v(92)
+ wire [3:0] \FM_Dump_Data_IQ/Data_dump_state ; // ../rtl/demodulation/FM_Dump_Data.v(27)
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_100 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_101 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_102 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_108 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_109 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_110 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_111 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_122 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_123 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_24 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_25 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_26 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_27 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_32 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_33 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_35 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_4 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_42 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_43 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_45 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_46 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_5 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_52 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_53 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_55 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_56 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_57 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_6 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_66 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_67 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_7 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_72 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_74 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_75 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_76 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_78 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_88 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_92 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_93 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_94 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B4_12 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_0 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_108 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_110 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_111 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_112 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_113 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_114 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_115 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_116 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_117 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_12 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_13 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_14 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_16 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_18 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_19 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_2 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_20 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_21 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_22 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_24 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_26 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_27 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_28 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_29 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_3 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_30 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_33 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_34 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_35 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_36 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_38 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_39 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_4 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_5 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_6 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_60 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_62 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_63 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_64 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_65 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_66 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_94 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_95 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_0 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_2 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_0 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_1 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_104 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_106 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_107 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_110 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_112 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_113 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_114 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_115 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_116 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_117 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_118 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_119 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_124 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_125 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_126 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_2 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_24 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_26 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_27 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_3 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_30 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_31 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_32 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_33 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_34 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_35 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_36 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_37 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_60 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_62 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_63 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_66 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_67 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_68 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_70 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_71 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_92 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_96 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B2_51 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_6 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_8 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B4_14 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B5_3 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_104 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_105 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_106 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_107 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_120 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_121 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_122 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_123 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_16 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_18 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_20 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_21 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_22 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_32 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_38 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_4 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_5 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_56 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_58 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_59 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_6 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_60 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_61 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_62 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_63 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_64 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_66 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_67 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_68 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_69 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_7 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_70 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_71 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_72 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_73 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_74 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_75 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_78 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_80 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_82 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_83 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_84 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_85 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_86 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_87 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_92 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_93 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_94 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_95 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_96 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_97 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_98 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_99 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B2_2 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B2_3 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_10 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_7 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_10 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_106 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_107 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_112 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_113 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_114 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_115 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_116 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_117 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_16 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_17 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_18 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_19 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_20 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_21 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_22 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_23 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_30 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_36 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_37 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_38 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_39 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_46 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_47 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_48 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_49 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_50 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_51 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_52 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_53 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_54 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_55 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_60 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_61 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_62 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_8 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_80 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_81 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_82 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_83 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_9 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_96 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_97 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_98 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_99 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B2_48 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B2_49 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_2 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_26 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_28 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_113 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_114 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_20 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_21 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_32 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_33 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_35 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_4 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_40 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_41 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_42 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_43 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_45 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_46 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_47 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_5 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_6 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_60 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_62 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_63 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_64 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_66 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_67 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_7 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_72 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_73 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_74 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_75 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_76 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_78 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_88 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_90 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_91 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_94 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_96 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_97 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_98 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B3_17 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B4_15 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B5_7 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B7_0 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_0 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_104 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_105 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_106 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_107 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_116 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_117 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_118 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_119 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_12 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_13 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_14 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_2 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_26 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_27 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_3 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_36 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_37 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_38 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_4 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_5 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_54 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_6 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_64 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_67 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_68 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_7 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_70 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_72 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_73 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_74 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_75 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_77 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_78 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_79 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_87 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_92 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_94 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_95 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B2_52 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B2_53 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B3_9 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B4_0 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_0 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_1 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_122 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_123 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_2 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_3 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_34 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_35 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_56 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_57 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_58 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_59 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_64 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_66 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_67 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_68 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_69 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_70 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_71 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_72 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_73 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_74 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_75 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_78 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_8 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_84 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_85 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_86 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_88 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_89 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_9 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_90 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_91 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B2_42 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_10 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_8 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B6_0 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B6_3 ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_waddr ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_di ;
+ wire [3:0] \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_waddr ;
+ wire [12:0] \FM_Dump_Data_IQ/dump_data_addr ; // ../rtl/demodulation/FM_Dump_Data.v(58)
+ wire [3:0] \FM_Dump_Data_IQ/n32 ;
+ wire [12:0] \FM_Dump_Data_IQ/n39 ;
+ wire [12:0] \FM_Dump_Data_IQ/n46 ;
+ wire [7:0] \FM_Dump_Data_IQ/n62 ;
+ wire [7:0] \FM_RSSI_SCAN/IdataN ; // ../rtl/demodulation/FM_RSSI.v(18)
+ wire [7:0] \FM_RSSI_SCAN/QdataN ; // ../rtl/demodulation/FM_RSSI.v(19)
+ wire [29:0] \FM_RSSI_SCAN/RSSI_SUM ; // ../rtl/demodulation/FM_RSSI.v(79)
+ wire [12:0] \FM_RSSI_SCAN/counter ; // ../rtl/demodulation/FM_RSSI.v(64)
+ wire [31:0] \FM_RSSI_SCAN/multlII/n1 ;
+ wire [7:0] \FM_RSSI_SCAN/multlII/n2 ;
+ wire [15:0] \FM_RSSI_SCAN/multlII/n6 ;
+ wire [31:0] \FM_RSSI_SCAN/multlQQ/n1 ;
+ wire [7:0] \FM_RSSI_SCAN/multlQQ/n2 ;
+ wire [15:0] \FM_RSSI_SCAN/multlQQ/n6 ;
+ wire [29:0] \FM_RSSI_SCAN/n15 ;
+ wire [12:0] \FM_RSSI_SCAN/n16 ;
+ wire [29:0] \FM_RSSI_SCAN/n19 ;
+ wire [8:0] \FM_RSSI_SCAN/n2 ;
+ wire [12:0] \FM_RSSI_SCAN/n20 ;
+ wire [9:0] demodulated_signal_downsample; // ../rtl/demodulation/FM_HW.v(146)
+ wire [16:0] n0;
+ wire [3:0] n21;
+ wire [31:0] rd_DUMP; // ../rtl/demodulation/FM_HW.v(24)
+ wire [31:0] rd_SCAN; // ../rtl/demodulation/FM_HW.v(25)
+ wire ADC_CLK; // ../rtl/demodulation/FM_HW.v(72)
+ wire \ADC_Data[0]_keep ;
+ wire \ADC_Data[10]_keep ;
+ wire \ADC_Data[11]_keep ;
+ wire \ADC_Data[1]_keep ;
+ wire \ADC_Data[2]_keep ;
+ wire \ADC_Data[3]_keep ;
+ wire \ADC_Data[4]_keep ;
+ wire \ADC_Data[5]_keep ;
+ wire \ADC_Data[6]_keep ;
+ wire \ADC_Data[7]_keep ;
+ wire \ADC_Data[8]_keep ;
+ wire \ADC_Data[9]_keep ;
+ wire \Audio_PWM/N ; // ../rtl/peripherals/Audio_PWM.v(18)
+ wire \Audio_PWM/N_1 ; // ../rtl/peripherals/Audio_PWM.v(17)
+ wire \Audio_PWM/add0/c11 ; // ../rtl/peripherals/Audio_PWM.v(28)
+ wire \Audio_PWM/add0/c3 ; // ../rtl/peripherals/Audio_PWM.v(28)
+ wire \Audio_PWM/add0/c7 ; // ../rtl/peripherals/Audio_PWM.v(28)
+ wire \Audio_PWM/audio_pwm_reg ; // ../rtl/peripherals/Audio_PWM.v(15)
+ wire \Audio_PWM/lt1_c1 ;
+ wire \Audio_PWM/lt1_c11 ;
+ wire \Audio_PWM/lt1_c3 ;
+ wire \Audio_PWM/lt1_c5 ;
+ wire \Audio_PWM/lt1_c7 ;
+ wire \Audio_PWM/lt1_c9 ;
+ wire CW_CLK; // ../rtl/demodulation/FM_HW.v(71)
+ wire \Channel[1]_neg ;
+ wire EOC; // ../rtl/demodulation/FM_HW.v(23)
+ wire \FM_Dump_Data_IQ/Dump_done ; // ../rtl/demodulation/FM_Dump_Data.v(57)
+ wire \FM_Dump_Data_IQ/add0/c11 ; // ../rtl/demodulation/FM_Dump_Data.v(81)
+ wire \FM_Dump_Data_IQ/add0/c3 ; // ../rtl/demodulation/FM_Dump_Data.v(81)
+ wire \FM_Dump_Data_IQ/add0/c7 ; // ../rtl/demodulation/FM_Dump_Data.v(81)
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_000 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_001 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_002 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_003 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_004 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_005 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_006 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_007 ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_we ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_mode ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_wclk ;
+ wire \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_we ;
+ wire \FM_Dump_Data_IQ/and_n5_n3_n0_o ;
+ wire \FM_Dump_Data_IQ/dump_done_en ; // ../rtl/demodulation/FM_Dump_Data.v(55)
+ wire \FM_Dump_Data_IQ/dump_temp ; // ../rtl/demodulation/FM_Dump_Data.v(56)
+ wire \FM_Dump_Data_IQ/lt0_c1 ;
+ wire \FM_Dump_Data_IQ/lt0_c11 ;
+ wire \FM_Dump_Data_IQ/lt0_c13 ;
+ wire \FM_Dump_Data_IQ/lt0_c3 ;
+ wire \FM_Dump_Data_IQ/lt0_c5 ;
+ wire \FM_Dump_Data_IQ/lt0_c7 ;
+ wire \FM_Dump_Data_IQ/lt0_c9 ;
+ wire \FM_Dump_Data_IQ/lt1_c1 ;
+ wire \FM_Dump_Data_IQ/lt1_c11 ;
+ wire \FM_Dump_Data_IQ/lt1_c13 ;
+ wire \FM_Dump_Data_IQ/lt1_c3 ;
+ wire \FM_Dump_Data_IQ/lt1_c5 ;
+ wire \FM_Dump_Data_IQ/lt1_c7 ;
+ wire \FM_Dump_Data_IQ/lt1_c9 ;
+ wire \FM_Dump_Data_IQ/n34 ;
+ wire \FM_Dump_Data_IQ/n38 ;
+ wire \FM_Dump_Data_IQ/n42 ;
+ wire \FM_Dump_Data_IQ/n49 ;
+ wire \FM_Dump_Data_IQ/n55 ;
+ wire \FM_Dump_Data_IQ/n57 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_1 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_0 ;
+ wire \FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_1 ;
+ wire \FM_Dump_Data_IQ/n58 ;
+ wire \FM_Dump_Data_IQ/n61 ;
+ wire \FM_Dump_Data_IQ/u33_sel_is_2_o ;
+ wire \FM_RSSI_SCAN/EOC_Count_Demodulate ; // ../rtl/demodulation/FM_RSSI.v(21)
+ wire \FM_RSSI_SCAN/RSSI_reg_1 ; // ../rtl/demodulation/FM_RSSI.v(95)
+ wire \FM_RSSI_SCAN/RSSI_reg_2 ; // ../rtl/demodulation/FM_RSSI.v(96)
+ wire \FM_RSSI_SCAN/add2/c11 ; // ../rtl/demodulation/FM_RSSI.v(87)
+ wire \FM_RSSI_SCAN/add2/c3 ; // ../rtl/demodulation/FM_RSSI.v(87)
+ wire \FM_RSSI_SCAN/add2/c7 ; // ../rtl/demodulation/FM_RSSI.v(87)
+ wire \FM_RSSI_SCAN/done_signal ; // ../rtl/demodulation/FM_RSSI.v(65)
+ wire \FM_RSSI_SCAN/lt0_c1 ;
+ wire \FM_RSSI_SCAN/lt0_c11 ;
+ wire \FM_RSSI_SCAN/lt0_c13 ;
+ wire \FM_RSSI_SCAN/lt0_c3 ;
+ wire \FM_RSSI_SCAN/lt0_c5 ;
+ wire \FM_RSSI_SCAN/lt0_c7 ;
+ wire \FM_RSSI_SCAN/lt0_c9 ;
+ wire \FM_RSSI_SCAN/multlII/add0/c1 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
+ wire \FM_RSSI_SCAN/multlII/add0/c3 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
+ wire \FM_RSSI_SCAN/multlII/add0/c5 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
+ wire \FM_RSSI_SCAN/multlII/add0/c7 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
+ wire \FM_RSSI_SCAN/multlQQ/add0/c1 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
+ wire \FM_RSSI_SCAN/multlQQ/add0/c3 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
+ wire \FM_RSSI_SCAN/multlQQ/add0/c5 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
+ wire \FM_RSSI_SCAN/multlQQ/add0/c7 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
+ wire \FM_RSSI_SCAN/mux2_b0_sel_is_3_o ;
+ wire \FM_RSSI_SCAN/mux3_b0_sel_is_3_o ;
+ wire \FM_RSSI_SCAN/n0_lutinv ;
+ wire \FM_RSSI_SCAN/n11 ;
+ wire \FM_RSSI_SCAN/n14 ;
+ wire \FM_RSSI_SCAN/n25 ;
+ wire \FM_RSSI_SCAN/sub0/c1 ; // ../rtl/demodulation/FM_RSSI.v(27)
+ wire \FM_RSSI_SCAN/sub0/c3 ; // ../rtl/demodulation/FM_RSSI.v(27)
+ wire \FM_RSSI_SCAN/sub0/c5 ; // ../rtl/demodulation/FM_RSSI.v(27)
+ wire \FM_RSSI_SCAN/sub0/c7 ; // ../rtl/demodulation/FM_RSSI.v(27)
+ wire \U1/clk0_buf ; // al_ip/PLL_Demodulation.v(43)
+ wire _al_u1000_o;
+ wire _al_u1001_o;
+ wire _al_u1003_o;
+ wire _al_u1004_o;
+ wire _al_u1005_o;
+ wire _al_u1006_o;
+ wire _al_u1007_o;
+ wire _al_u1008_o;
+ wire _al_u1009_o;
+ wire _al_u1010_o;
+ wire _al_u1011_o;
+ wire _al_u1012_o;
+ wire _al_u1013_o;
+ wire _al_u1015_o;
+ wire _al_u1016_o;
+ wire _al_u1017_o;
+ wire _al_u1018_o;
+ wire _al_u1019_o;
+ wire _al_u1020_o;
+ wire _al_u1021_o;
+ wire _al_u1022_o;
+ wire _al_u1023_o;
+ wire _al_u1024_o;
+ wire _al_u1025_o;
+ wire _al_u1026_o;
+ wire _al_u1027_o;
+ wire _al_u1028_o;
+ wire _al_u1029_o;
+ wire _al_u1030_o;
+ wire _al_u1031_o;
+ wire _al_u1032_o;
+ wire _al_u1033_o;
+ wire _al_u1034_o;
+ wire _al_u1035_o;
+ wire _al_u1036_o;
+ wire _al_u1037_o;
+ wire _al_u1038_o;
+ wire _al_u1039_o;
+ wire _al_u1040_o;
+ wire _al_u1041_o;
+ wire _al_u1042_o;
+ wire _al_u1043_o;
+ wire _al_u1044_o;
+ wire _al_u1046_o;
+ wire _al_u1048_o;
+ wire _al_u1050_o;
+ wire _al_u1051_o;
+ wire _al_u1053_o;
+ wire _al_u1055_o;
+ wire _al_u1057_o;
+ wire _al_u1058_o;
+ wire _al_u1060_o;
+ wire _al_u1061_o;
+ wire _al_u1063_o;
+ wire _al_u1065_o;
+ wire _al_u1066_o;
+ wire _al_u1067_o;
+ wire _al_u1068_o;
+ wire _al_u1069_o;
+ wire _al_u1070_o;
+ wire _al_u1071_o;
+ wire _al_u1072_o;
+ wire _al_u1073_o;
+ wire _al_u1074_o;
+ wire _al_u1075_o;
+ wire _al_u1077_o;
+ wire _al_u1078_o;
+ wire _al_u1079_o;
+ wire _al_u1080_o;
+ wire _al_u1082_o;
+ wire _al_u1084_o;
+ wire _al_u1085_o;
+ wire _al_u1086_o;
+ wire _al_u1088_o;
+ wire _al_u1090_o;
+ wire _al_u1091_o;
+ wire _al_u1092_o;
+ wire _al_u1093_o;
+ wire _al_u1095_o;
+ wire _al_u1096_o;
+ wire _al_u1097_o;
+ wire _al_u1098_o;
+ wire _al_u1099_o;
+ wire _al_u1100_o;
+ wire _al_u1101_o;
+ wire _al_u1102_o;
+ wire _al_u1103_o;
+ wire _al_u1104_o;
+ wire _al_u1106_o;
+ wire _al_u1108_o;
+ wire _al_u1109_o;
+ wire _al_u1110_o;
+ wire _al_u1111_o;
+ wire _al_u1112_o;
+ wire _al_u1113_o;
+ wire _al_u1114_o;
+ wire _al_u1115_o;
+ wire _al_u1116_o;
+ wire _al_u1117_o;
+ wire _al_u1118_o;
+ wire _al_u1119_o;
+ wire _al_u1120_o;
+ wire _al_u1121_o;
+ wire _al_u1122_o;
+ wire _al_u1123_o;
+ wire _al_u1124_o;
+ wire _al_u1125_o;
+ wire _al_u1126_o;
+ wire _al_u1127_o;
+ wire _al_u1128_o;
+ wire _al_u1129_o;
+ wire _al_u1130_o;
+ wire _al_u1131_o;
+ wire _al_u1133_o;
+ wire _al_u1134_o;
+ wire _al_u1135_o;
+ wire _al_u1136_o;
+ wire _al_u1137_o;
+ wire _al_u1138_o;
+ wire _al_u1139_o;
+ wire _al_u1141_o;
+ wire _al_u1142_o;
+ wire _al_u1143_o;
+ wire _al_u1144_o;
+ wire _al_u1145_o;
+ wire _al_u1146_o;
+ wire _al_u1147_o;
+ wire _al_u1148_o;
+ wire _al_u1149_o;
+ wire _al_u1151_o;
+ wire _al_u1152_o;
+ wire _al_u1153_o;
+ wire _al_u1154_o;
+ wire _al_u1156_o;
+ wire _al_u1158_o;
+ wire _al_u1159_o;
+ wire _al_u1160_o;
+ wire _al_u1161_o;
+ wire _al_u1162_o;
+ wire _al_u1164_o;
+ wire _al_u1165_o;
+ wire _al_u1166_o;
+ wire _al_u1167_o;
+ wire _al_u1168_o;
+ wire _al_u1169_o;
+ wire _al_u1170_o;
+ wire _al_u1171_o;
+ wire _al_u1172_o;
+ wire _al_u1173_o;
+ wire _al_u1174_o;
+ wire _al_u1175_o;
+ wire _al_u1176_o;
+ wire _al_u1177_o;
+ wire _al_u1178_o;
+ wire _al_u1179_o;
+ wire _al_u1180_o;
+ wire _al_u1181_o;
+ wire _al_u1182_o;
+ wire _al_u1183_o;
+ wire _al_u1184_o;
+ wire _al_u1186_o;
+ wire _al_u1188_o;
+ wire _al_u1189_o;
+ wire _al_u1191_o;
+ wire _al_u1193_o;
+ wire _al_u1194_o;
+ wire _al_u1195_o;
+ wire _al_u1196_o;
+ wire _al_u1197_o;
+ wire _al_u1198_o;
+ wire _al_u1199_o;
+ wire _al_u1200_o;
+ wire _al_u1201_o;
+ wire _al_u1202_o;
+ wire _al_u1203_o;
+ wire _al_u1204_o;
+ wire _al_u1205_o;
+ wire _al_u1206_o;
+ wire _al_u1207_o;
+ wire _al_u1208_o;
+ wire _al_u1209_o;
+ wire _al_u1210_o;
+ wire _al_u1211_o;
+ wire _al_u1212_o;
+ wire _al_u1213_o;
+ wire _al_u1214_o;
+ wire _al_u1215_o;
+ wire _al_u1216_o;
+ wire _al_u1217_o;
+ wire _al_u1218_o;
+ wire _al_u1219_o;
+ wire _al_u1220_o;
+ wire _al_u1221_o;
+ wire _al_u1222_o;
+ wire _al_u1223_o;
+ wire _al_u1224_o;
+ wire _al_u1225_o;
+ wire _al_u1226_o;
+ wire _al_u1227_o;
+ wire _al_u1228_o;
+ wire _al_u1229_o;
+ wire _al_u1230_o;
+ wire _al_u1231_o;
+ wire _al_u1232_o;
+ wire _al_u1233_o;
+ wire _al_u1234_o;
+ wire _al_u1235_o;
+ wire _al_u1236_o;
+ wire _al_u1238_o;
+ wire _al_u1240_o;
+ wire _al_u1242_o;
+ wire _al_u1244_o;
+ wire _al_u1246_o;
+ wire _al_u1248_o;
+ wire _al_u1250_o;
+ wire _al_u1251_o;
+ wire _al_u1252_o;
+ wire _al_u1253_o;
+ wire _al_u1254_o;
+ wire _al_u1255_o;
+ wire _al_u1256_o;
+ wire _al_u1257_o;
+ wire _al_u1258_o;
+ wire _al_u1259_o;
+ wire _al_u1260_o;
+ wire _al_u1261_o;
+ wire _al_u1262_o;
+ wire _al_u1263_o;
+ wire _al_u1264_o;
+ wire _al_u1265_o;
+ wire _al_u1266_o;
+ wire _al_u1267_o;
+ wire _al_u1268_o;
+ wire _al_u1269_o;
+ wire _al_u1270_o;
+ wire _al_u1271_o;
+ wire _al_u1272_o;
+ wire _al_u1273_o;
+ wire _al_u1274_o;
+ wire _al_u1275_o;
+ wire _al_u1276_o;
+ wire _al_u1277_o;
+ wire _al_u1278_o;
+ wire _al_u1279_o;
+ wire _al_u1281_o;
+ wire _al_u1282_o;
+ wire _al_u1283_o;
+ wire _al_u1284_o;
+ wire _al_u1286_o;
+ wire _al_u1288_o;
+ wire _al_u1289_o;
+ wire _al_u1290_o;
+ wire _al_u1291_o;
+ wire _al_u1292_o;
+ wire _al_u1293_o;
+ wire _al_u1294_o;
+ wire _al_u1295_o;
+ wire _al_u1296_o;
+ wire _al_u1297_o;
+ wire _al_u1298_o;
+ wire _al_u1299_o;
+ wire _al_u1301_o;
+ wire _al_u1303_o;
+ wire _al_u1305_o;
+ wire _al_u1307_o;
+ wire _al_u1308_o;
+ wire _al_u1309_o;
+ wire _al_u1311_o;
+ wire _al_u1312_o;
+ wire _al_u1313_o;
+ wire _al_u1314_o;
+ wire _al_u1315_o;
+ wire _al_u1316_o;
+ wire _al_u1317_o;
+ wire _al_u1318_o;
+ wire _al_u1319_o;
+ wire _al_u1320_o;
+ wire _al_u1321_o;
+ wire _al_u1322_o;
+ wire _al_u1323_o;
+ wire _al_u1324_o;
+ wire _al_u1325_o;
+ wire _al_u1326_o;
+ wire _al_u1327_o;
+ wire _al_u1328_o;
+ wire _al_u1329_o;
+ wire _al_u1330_o;
+ wire _al_u1331_o;
+ wire _al_u1332_o;
+ wire _al_u1333_o;
+ wire _al_u1334_o;
+ wire _al_u1335_o;
+ wire _al_u1336_o;
+ wire _al_u1337_o;
+ wire _al_u1338_o;
+ wire _al_u1340_o;
+ wire _al_u1342_o;
+ wire _al_u1343_o;
+ wire _al_u1344_o;
+ wire _al_u1346_o;
+ wire _al_u1348_o;
+ wire _al_u1350_o;
+ wire _al_u1351_o;
+ wire _al_u1353_o;
+ wire _al_u1355_o;
+ wire _al_u1356_o;
+ wire _al_u1358_o;
+ wire _al_u1359_o;
+ wire _al_u1360_o;
+ wire _al_u1361_o;
+ wire _al_u1363_o;
+ wire _al_u1364_o;
+ wire _al_u1365_o;
+ wire _al_u1367_o;
+ wire _al_u1369_o;
+ wire _al_u1370_o;
+ wire _al_u1371_o;
+ wire _al_u1372_o;
+ wire _al_u1374_o;
+ wire _al_u1376_o;
+ wire _al_u1377_o;
+ wire _al_u1379_o;
+ wire _al_u1380_o;
+ wire _al_u1381_o;
+ wire _al_u1382_o;
+ wire _al_u1384_o;
+ wire _al_u1386_o;
+ wire _al_u1387_o;
+ wire _al_u1388_o;
+ wire _al_u1390_o;
+ wire _al_u1392_o;
+ wire _al_u1393_o;
+ wire _al_u1395_o;
+ wire _al_u1397_o;
+ wire _al_u1398_o;
+ wire _al_u1400_o;
+ wire _al_u1401_o;
+ wire _al_u1402_o;
+ wire _al_u1403_o;
+ wire _al_u1405_o;
+ wire _al_u1406_o;
+ wire _al_u1407_o;
+ wire _al_u1409_o;
+ wire _al_u1410_o;
+ wire _al_u1411_o;
+ wire _al_u1412_o;
+ wire _al_u1413_o;
+ wire _al_u1414_o;
+ wire _al_u1415_o;
+ wire _al_u1416_o;
+ wire _al_u1417_o;
+ wire _al_u1418_o;
+ wire _al_u1419_o;
+ wire _al_u1421_o;
+ wire _al_u1422_o;
+ wire _al_u1423_o;
+ wire _al_u1424_o;
+ wire _al_u1426_o;
+ wire _al_u1428_o;
+ wire _al_u1429_o;
+ wire _al_u1430_o;
+ wire _al_u1431_o;
+ wire _al_u1432_o;
+ wire _al_u1433_o;
+ wire _al_u1434_o;
+ wire _al_u1435_o;
+ wire _al_u1436_o;
+ wire _al_u1437_o;
+ wire _al_u1438_o;
+ wire _al_u1439_o;
+ wire _al_u1440_o;
+ wire _al_u1441_o;
+ wire _al_u1442_o;
+ wire _al_u1443_o;
+ wire _al_u1444_o;
+ wire _al_u1445_o;
+ wire _al_u1446_o;
+ wire _al_u1447_o;
+ wire _al_u1448_o;
+ wire _al_u1449_o;
+ wire _al_u1450_o;
+ wire _al_u1451_o;
+ wire _al_u1452_o;
+ wire _al_u1453_o;
+ wire _al_u1454_o;
+ wire _al_u1455_o;
+ wire _al_u1456_o;
+ wire _al_u1457_o;
+ wire _al_u1458_o;
+ wire _al_u1459_o;
+ wire _al_u1460_o;
+ wire _al_u1461_o;
+ wire _al_u1462_o;
+ wire _al_u1463_o;
+ wire _al_u1464_o;
+ wire _al_u1465_o;
+ wire _al_u1466_o;
+ wire _al_u1467_o;
+ wire _al_u1468_o;
+ wire _al_u1469_o;
+ wire _al_u1470_o;
+ wire _al_u1471_o;
+ wire _al_u1472_o;
+ wire _al_u1473_o;
+ wire _al_u1474_o;
+ wire _al_u1475_o;
+ wire _al_u1477_o;
+ wire _al_u1479_o;
+ wire _al_u1480_o;
+ wire _al_u1482_o;
+ wire _al_u1483_o;
+ wire _al_u1484_o;
+ wire _al_u1485_o;
+ wire _al_u1486_o;
+ wire _al_u1487_o;
+ wire _al_u1488_o;
+ wire _al_u1489_o;
+ wire _al_u1490_o;
+ wire _al_u1491_o;
+ wire _al_u1492_o;
+ wire _al_u1493_o;
+ wire _al_u1494_o;
+ wire _al_u1495_o;
+ wire _al_u1496_o;
+ wire _al_u1497_o;
+ wire _al_u1498_o;
+ wire _al_u1499_o;
+ wire _al_u1500_o;
+ wire _al_u1501_o;
+ wire _al_u1502_o;
+ wire _al_u1503_o;
+ wire _al_u1504_o;
+ wire _al_u1505_o;
+ wire _al_u1506_o;
+ wire _al_u1507_o;
+ wire _al_u1508_o;
+ wire _al_u1509_o;
+ wire _al_u1510_o;
+ wire _al_u1511_o;
+ wire _al_u1512_o;
+ wire _al_u1513_o;
+ wire _al_u1515_o;
+ wire _al_u1517_o;
+ wire _al_u1518_o;
+ wire _al_u1519_o;
+ wire _al_u1520_o;
+ wire _al_u1521_o;
+ wire _al_u1522_o;
+ wire _al_u1523_o;
+ wire _al_u1524_o;
+ wire _al_u1525_o;
+ wire _al_u1526_o;
+ wire _al_u1527_o;
+ wire _al_u1528_o;
+ wire _al_u1529_o;
+ wire _al_u1530_o;
+ wire _al_u1531_o;
+ wire _al_u1532_o;
+ wire _al_u1533_o;
+ wire _al_u1534_o;
+ wire _al_u1535_o;
+ wire _al_u1536_o;
+ wire _al_u1537_o;
+ wire _al_u1538_o;
+ wire _al_u1539_o;
+ wire _al_u1540_o;
+ wire _al_u1541_o;
+ wire _al_u1543_o;
+ wire _al_u1545_o;
+ wire _al_u1546_o;
+ wire _al_u1547_o;
+ wire _al_u1548_o;
+ wire _al_u1549_o;
+ wire _al_u1550_o;
+ wire _al_u1551_o;
+ wire _al_u1552_o;
+ wire _al_u1554_o;
+ wire _al_u1556_o;
+ wire _al_u1557_o;
+ wire _al_u1559_o;
+ wire _al_u1561_o;
+ wire _al_u1562_o;
+ wire _al_u1563_o;
+ wire _al_u1564_o;
+ wire _al_u1565_o;
+ wire _al_u1566_o;
+ wire _al_u1567_o;
+ wire _al_u1568_o;
+ wire _al_u1569_o;
+ wire _al_u1570_o;
+ wire _al_u1571_o;
+ wire _al_u1572_o;
+ wire _al_u1573_o;
+ wire _al_u1575_o;
+ wire _al_u1577_o;
+ wire _al_u1578_o;
+ wire _al_u1580_o;
+ wire _al_u1581_o;
+ wire _al_u1582_o;
+ wire _al_u1583_o;
+ wire _al_u1584_o;
+ wire _al_u1585_o;
+ wire _al_u1586_o;
+ wire _al_u1587_o;
+ wire _al_u1588_o;
+ wire _al_u1589_o;
+ wire _al_u1590_o;
+ wire _al_u1591_o;
+ wire _al_u1592_o;
+ wire _al_u1593_o;
+ wire _al_u1594_o;
+ wire _al_u1595_o;
+ wire _al_u1596_o;
+ wire _al_u1597_o;
+ wire _al_u1598_o;
+ wire _al_u1599_o;
+ wire _al_u1600_o;
+ wire _al_u1601_o;
+ wire _al_u1602_o;
+ wire _al_u1603_o;
+ wire _al_u1604_o;
+ wire _al_u1605_o;
+ wire _al_u1607_o;
+ wire _al_u1609_o;
+ wire _al_u1610_o;
+ wire _al_u1611_o;
+ wire _al_u1612_o;
+ wire _al_u1614_o;
+ wire _al_u1615_o;
+ wire _al_u1616_o;
+ wire _al_u1618_o;
+ wire _al_u1620_o;
+ wire _al_u1621_o;
+ wire _al_u1622_o;
+ wire _al_u1623_o;
+ wire _al_u1624_o;
+ wire _al_u1626_o;
+ wire _al_u1627_o;
+ wire _al_u1628_o;
+ wire _al_u1629_o;
+ wire _al_u1630_o;
+ wire _al_u1631_o;
+ wire _al_u1632_o;
+ wire _al_u1633_o;
+ wire _al_u1634_o;
+ wire _al_u1635_o;
+ wire _al_u1636_o;
+ wire _al_u1637_o;
+ wire _al_u1638_o;
+ wire _al_u1639_o;
+ wire _al_u1640_o;
+ wire _al_u1641_o;
+ wire _al_u1642_o;
+ wire _al_u1643_o;
+ wire _al_u1644_o;
+ wire _al_u1645_o;
+ wire _al_u1646_o;
+ wire _al_u1647_o;
+ wire _al_u1648_o;
+ wire _al_u1649_o;
+ wire _al_u1650_o;
+ wire _al_u1651_o;
+ wire _al_u1652_o;
+ wire _al_u1653_o;
+ wire _al_u1654_o;
+ wire _al_u1655_o;
+ wire _al_u1656_o;
+ wire _al_u1657_o;
+ wire _al_u1658_o;
+ wire _al_u1659_o;
+ wire _al_u1660_o;
+ wire _al_u1661_o;
+ wire _al_u1662_o;
+ wire _al_u1663_o;
+ wire _al_u1664_o;
+ wire _al_u1665_o;
+ wire _al_u1666_o;
+ wire _al_u1667_o;
+ wire _al_u1668_o;
+ wire _al_u1669_o;
+ wire _al_u1670_o;
+ wire _al_u1671_o;
+ wire _al_u1672_o;
+ wire _al_u1673_o;
+ wire _al_u1674_o;
+ wire _al_u1675_o;
+ wire _al_u1676_o;
+ wire _al_u1677_o;
+ wire _al_u1678_o;
+ wire _al_u1680_o;
+ wire _al_u1681_o;
+ wire _al_u1682_o;
+ wire _al_u1683_o;
+ wire _al_u1685_o;
+ wire _al_u1687_o;
+ wire _al_u1688_o;
+ wire _al_u1689_o;
+ wire _al_u1691_o;
+ wire _al_u1692_o;
+ wire _al_u1693_o;
+ wire _al_u1694_o;
+ wire _al_u1695_o;
+ wire _al_u1696_o;
+ wire _al_u1697_o;
+ wire _al_u1698_o;
+ wire _al_u1699_o;
+ wire _al_u1700_o;
+ wire _al_u1701_o;
+ wire _al_u1702_o;
+ wire _al_u1703_o;
+ wire _al_u1704_o;
+ wire _al_u1705_o;
+ wire _al_u1706_o;
+ wire _al_u1707_o;
+ wire _al_u1708_o;
+ wire _al_u1709_o;
+ wire _al_u1710_o;
+ wire _al_u1711_o;
+ wire _al_u1712_o;
+ wire _al_u1713_o;
+ wire _al_u1714_o;
+ wire _al_u1715_o;
+ wire _al_u1716_o;
+ wire _al_u1717_o;
+ wire _al_u1718_o;
+ wire _al_u1719_o;
+ wire _al_u1720_o;
+ wire _al_u1721_o;
+ wire _al_u1723_o;
+ wire _al_u1725_o;
+ wire _al_u1727_o;
+ wire _al_u1728_o;
+ wire _al_u1730_o;
+ wire _al_u1731_o;
+ wire _al_u1732_o;
+ wire _al_u1733_o;
+ wire _al_u1734_o;
+ wire _al_u1735_o;
+ wire _al_u1736_o;
+ wire _al_u1737_o;
+ wire _al_u1738_o;
+ wire _al_u1739_o;
+ wire _al_u1740_o;
+ wire _al_u1741_o;
+ wire _al_u1742_o;
+ wire _al_u1743_o;
+ wire _al_u1744_o;
+ wire _al_u1745_o;
+ wire _al_u1746_o;
+ wire _al_u1747_o;
+ wire _al_u1748_o;
+ wire _al_u1749_o;
+ wire _al_u1750_o;
+ wire _al_u1751_o;
+ wire _al_u1752_o;
+ wire _al_u1753_o;
+ wire _al_u1755_o;
+ wire _al_u1757_o;
+ wire _al_u1758_o;
+ wire _al_u1760_o;
+ wire _al_u1762_o;
+ wire _al_u1763_o;
+ wire _al_u1764_o;
+ wire _al_u1765_o;
+ wire _al_u1766_o;
+ wire _al_u1767_o;
+ wire _al_u1768_o;
+ wire _al_u1769_o;
+ wire _al_u1770_o;
+ wire _al_u1771_o;
+ wire _al_u1772_o;
+ wire _al_u1773_o;
+ wire _al_u1774_o;
+ wire _al_u1775_o;
+ wire _al_u1776_o;
+ wire _al_u1777_o;
+ wire _al_u1778_o;
+ wire _al_u1779_o;
+ wire _al_u1780_o;
+ wire _al_u1781_o;
+ wire _al_u1782_o;
+ wire _al_u1783_o;
+ wire _al_u1784_o;
+ wire _al_u1785_o;
+ wire _al_u1786_o;
+ wire _al_u1787_o;
+ wire _al_u1788_o;
+ wire _al_u1789_o;
+ wire _al_u1791_o;
+ wire _al_u1792_o;
+ wire _al_u1794_o;
+ wire _al_u1796_o;
+ wire _al_u1797_o;
+ wire _al_u1798_o;
+ wire _al_u1800_o;
+ wire _al_u1801_o;
+ wire _al_u1802_o;
+ wire _al_u1803_o;
+ wire _al_u1805_o;
+ wire _al_u1806_o;
+ wire _al_u1807_o;
+ wire _al_u1808_o;
+ wire _al_u1809_o;
+ wire _al_u1810_o;
+ wire _al_u1812_o;
+ wire _al_u1814_o;
+ wire _al_u1815_o;
+ wire _al_u1816_o;
+ wire _al_u1817_o;
+ wire _al_u1818_o;
+ wire _al_u1819_o;
+ wire _al_u1820_o;
+ wire _al_u1821_o;
+ wire _al_u1822_o;
+ wire _al_u1823_o;
+ wire _al_u1824_o;
+ wire _al_u1825_o;
+ wire _al_u1826_o;
+ wire _al_u1827_o;
+ wire _al_u1828_o;
+ wire _al_u1829_o;
+ wire _al_u1830_o;
+ wire _al_u1831_o;
+ wire _al_u1832_o;
+ wire _al_u1833_o;
+ wire _al_u1834_o;
+ wire _al_u1835_o;
+ wire _al_u1836_o;
+ wire _al_u1838_o;
+ wire _al_u1840_o;
+ wire _al_u1841_o;
+ wire _al_u1842_o;
+ wire _al_u1844_o;
+ wire _al_u1846_o;
+ wire _al_u1847_o;
+ wire _al_u1849_o;
+ wire _al_u1850_o;
+ wire _al_u1851_o;
+ wire _al_u1852_o;
+ wire _al_u1853_o;
+ wire _al_u1854_o;
+ wire _al_u1855_o;
+ wire _al_u1856_o;
+ wire _al_u1857_o;
+ wire _al_u1858_o;
+ wire _al_u1859_o;
+ wire _al_u1860_o;
+ wire _al_u1861_o;
+ wire _al_u1862_o;
+ wire _al_u1863_o;
+ wire _al_u1864_o;
+ wire _al_u1865_o;
+ wire _al_u1866_o;
+ wire _al_u1867_o;
+ wire _al_u1868_o;
+ wire _al_u1869_o;
+ wire _al_u1870_o;
+ wire _al_u1871_o;
+ wire _al_u1872_o;
+ wire _al_u1873_o;
+ wire _al_u1874_o;
+ wire _al_u1875_o;
+ wire _al_u1876_o;
+ wire _al_u1877_o;
+ wire _al_u1879_o;
+ wire _al_u1881_o;
+ wire _al_u1882_o;
+ wire _al_u1884_o;
+ wire _al_u1885_o;
+ wire _al_u1886_o;
+ wire _al_u1888_o;
+ wire _al_u1889_o;
+ wire _al_u1890_o;
+ wire _al_u1891_o;
+ wire _al_u1892_o;
+ wire _al_u1893_o;
+ wire _al_u1894_o;
+ wire _al_u1895_o;
+ wire _al_u1896_o;
+ wire _al_u1897_o;
+ wire _al_u1898_o;
+ wire _al_u1900_o;
+ wire _al_u1902_o;
+ wire _al_u1903_o;
+ wire _al_u1905_o;
+ wire _al_u1907_o;
+ wire _al_u1908_o;
+ wire _al_u1909_o;
+ wire _al_u1910_o;
+ wire _al_u1911_o;
+ wire _al_u1912_o;
+ wire _al_u1913_o;
+ wire _al_u1914_o;
+ wire _al_u1915_o;
+ wire _al_u1916_o;
+ wire _al_u1917_o;
+ wire _al_u1918_o;
+ wire _al_u1919_o;
+ wire _al_u1920_o;
+ wire _al_u1921_o;
+ wire _al_u1922_o;
+ wire _al_u1923_o;
+ wire _al_u1924_o;
+ wire _al_u1925_o;
+ wire _al_u1926_o;
+ wire _al_u1927_o;
+ wire _al_u1928_o;
+ wire _al_u1929_o;
+ wire _al_u1930_o;
+ wire _al_u1931_o;
+ wire _al_u1932_o;
+ wire _al_u1933_o;
+ wire _al_u1934_o;
+ wire _al_u1935_o;
+ wire _al_u1936_o;
+ wire _al_u1937_o;
+ wire _al_u1938_o;
+ wire _al_u1939_o;
+ wire _al_u1940_o;
+ wire _al_u1942_o;
+ wire _al_u1944_o;
+ wire _al_u1945_o;
+ wire _al_u1946_o;
+ wire _al_u1947_o;
+ wire _al_u1948_o;
+ wire _al_u1949_o;
+ wire _al_u1950_o;
+ wire _al_u1951_o;
+ wire _al_u1953_o;
+ wire _al_u1954_o;
+ wire _al_u1955_o;
+ wire _al_u1956_o;
+ wire _al_u1958_o;
+ wire _al_u1960_o;
+ wire _al_u1961_o;
+ wire _al_u1963_o;
+ wire _al_u1964_o;
+ wire _al_u1965_o;
+ wire _al_u1966_o;
+ wire _al_u1967_o;
+ wire _al_u1968_o;
+ wire _al_u1969_o;
+ wire _al_u1970_o;
+ wire _al_u1971_o;
+ wire _al_u1972_o;
+ wire _al_u1973_o;
+ wire _al_u1974_o;
+ wire _al_u1975_o;
+ wire _al_u1976_o;
+ wire _al_u1977_o;
+ wire _al_u1978_o;
+ wire _al_u1979_o;
+ wire _al_u1980_o;
+ wire _al_u1981_o;
+ wire _al_u1982_o;
+ wire _al_u1983_o;
+ wire _al_u1984_o;
+ wire _al_u1985_o;
+ wire _al_u1986_o;
+ wire _al_u1987_o;
+ wire _al_u1988_o;
+ wire _al_u1989_o;
+ wire _al_u1990_o;
+ wire _al_u1991_o;
+ wire _al_u1992_o;
+ wire _al_u1993_o;
+ wire _al_u1994_o;
+ wire _al_u1995_o;
+ wire _al_u1997_o;
+ wire _al_u1998_o;
+ wire _al_u1999_o;
+ wire _al_u2000_o;
+ wire _al_u2002_o;
+ wire _al_u2003_o;
+ wire _al_u2005_o;
+ wire _al_u2006_o;
+ wire _al_u2007_o;
+ wire _al_u2008_o;
+ wire _al_u2010_o;
+ wire _al_u2012_o;
+ wire _al_u2013_o;
+ wire _al_u2014_o;
+ wire _al_u2015_o;
+ wire _al_u2016_o;
+ wire _al_u2017_o;
+ wire _al_u2018_o;
+ wire _al_u2019_o;
+ wire _al_u2020_o;
+ wire _al_u2021_o;
+ wire _al_u2022_o;
+ wire _al_u2023_o;
+ wire _al_u2024_o;
+ wire _al_u2025_o;
+ wire _al_u2026_o;
+ wire _al_u2027_o;
+ wire _al_u2028_o;
+ wire _al_u2029_o;
+ wire _al_u2031_o;
+ wire _al_u2032_o;
+ wire _al_u2034_o;
+ wire _al_u2035_o;
+ wire _al_u2036_o;
+ wire _al_u2038_o;
+ wire _al_u2040_o;
+ wire _al_u2042_o;
+ wire _al_u2044_o;
+ wire _al_u2045_o;
+ wire _al_u2046_o;
+ wire _al_u2047_o;
+ wire _al_u2049_o;
+ wire _al_u2051_o;
+ wire _al_u2052_o;
+ wire _al_u2054_o;
+ wire _al_u2055_o;
+ wire _al_u2057_o;
+ wire _al_u2059_o;
+ wire _al_u2061_o;
+ wire _al_u2063_o;
+ wire _al_u2064_o;
+ wire _al_u2066_o;
+ wire _al_u2068_o;
+ wire _al_u2069_o;
+ wire _al_u2071_o;
+ wire _al_u2072_o;
+ wire _al_u2073_o;
+ wire _al_u2074_o;
+ wire _al_u2075_o;
+ wire _al_u2076_o;
+ wire _al_u2077_o;
+ wire _al_u2078_o;
+ wire _al_u2079_o;
+ wire _al_u2080_o;
+ wire _al_u2081_o;
+ wire _al_u2082_o;
+ wire _al_u2083_o;
+ wire _al_u2084_o;
+ wire _al_u2085_o;
+ wire _al_u2086_o;
+ wire _al_u2087_o;
+ wire _al_u2088_o;
+ wire _al_u2089_o;
+ wire _al_u2090_o;
+ wire _al_u2092_o;
+ wire _al_u2094_o;
+ wire _al_u2095_o;
+ wire _al_u2097_o;
+ wire _al_u2099_o;
+ wire _al_u2100_o;
+ wire _al_u2101_o;
+ wire _al_u2102_o;
+ wire _al_u2103_o;
+ wire _al_u2104_o;
+ wire _al_u2105_o;
+ wire _al_u2106_o;
+ wire _al_u2107_o;
+ wire _al_u2108_o;
+ wire _al_u2109_o;
+ wire _al_u2110_o;
+ wire _al_u2111_o;
+ wire _al_u2112_o;
+ wire _al_u2114_o;
+ wire _al_u2117_o;
+ wire _al_u2119_o;
+ wire _al_u2120_o;
+ wire _al_u2121_o;
+ wire _al_u2123_o;
+ wire _al_u2124_o;
+ wire _al_u2125_o;
+ wire _al_u2126_o;
+ wire _al_u2127_o;
+ wire _al_u2128_o;
+ wire _al_u2129_o;
+ wire _al_u2130_o;
+ wire _al_u2131_o;
+ wire _al_u2132_o;
+ wire _al_u2133_o;
+ wire _al_u2134_o;
+ wire _al_u2135_o;
+ wire _al_u2136_o;
+ wire _al_u2137_o;
+ wire _al_u2138_o;
+ wire _al_u2139_o;
+ wire _al_u2140_o;
+ wire _al_u2141_o;
+ wire _al_u2142_o;
+ wire _al_u2143_o;
+ wire _al_u2144_o;
+ wire _al_u2145_o;
+ wire _al_u2146_o;
+ wire _al_u2147_o;
+ wire _al_u2148_o;
+ wire _al_u2149_o;
+ wire _al_u2150_o;
+ wire _al_u2151_o;
+ wire _al_u2152_o;
+ wire _al_u2153_o;
+ wire _al_u2154_o;
+ wire _al_u2155_o;
+ wire _al_u2156_o;
+ wire _al_u2157_o;
+ wire _al_u2158_o;
+ wire _al_u2159_o;
+ wire _al_u2160_o;
+ wire _al_u2161_o;
+ wire _al_u2162_o;
+ wire _al_u2163_o;
+ wire _al_u2164_o;
+ wire _al_u2165_o;
+ wire _al_u2166_o;
+ wire _al_u2167_o;
+ wire _al_u2168_o;
+ wire _al_u2169_o;
+ wire _al_u2170_o;
+ wire _al_u2171_o;
+ wire _al_u2172_o;
+ wire _al_u2173_o;
+ wire _al_u2174_o;
+ wire _al_u2175_o;
+ wire _al_u2176_o;
+ wire _al_u2177_o;
+ wire _al_u2178_o;
+ wire _al_u2179_o;
+ wire _al_u2180_o;
+ wire _al_u2181_o;
+ wire _al_u2182_o;
+ wire _al_u2183_o;
+ wire _al_u2184_o;
+ wire _al_u2185_o;
+ wire _al_u2186_o;
+ wire _al_u2187_o;
+ wire _al_u2188_o;
+ wire _al_u2189_o;
+ wire _al_u2190_o;
+ wire _al_u2191_o;
+ wire _al_u2192_o;
+ wire _al_u2193_o;
+ wire _al_u2194_o;
+ wire _al_u2196_o;
+ wire _al_u2198_o;
+ wire _al_u2199_o;
+ wire _al_u2201_o;
+ wire _al_u2203_o;
+ wire _al_u2204_o;
+ wire _al_u2206_o;
+ wire _al_u2208_o;
+ wire _al_u2209_o;
+ wire _al_u2211_o;
+ wire _al_u2213_o;
+ wire _al_u2215_o;
+ wire _al_u2216_o;
+ wire _al_u2218_o;
+ wire _al_u2219_o;
+ wire _al_u2221_o;
+ wire _al_u2222_o;
+ wire _al_u2223_o;
+ wire _al_u2224_o;
+ wire _al_u2225_o;
+ wire _al_u2226_o;
+ wire _al_u2227_o;
+ wire _al_u2228_o;
+ wire _al_u2229_o;
+ wire _al_u2230_o;
+ wire _al_u2231_o;
+ wire _al_u2232_o;
+ wire _al_u2233_o;
+ wire _al_u2234_o;
+ wire _al_u2235_o;
+ wire _al_u2236_o;
+ wire _al_u2237_o;
+ wire _al_u2238_o;
+ wire _al_u2239_o;
+ wire _al_u2240_o;
+ wire _al_u2241_o;
+ wire _al_u2242_o;
+ wire _al_u2243_o;
+ wire _al_u2244_o;
+ wire _al_u2245_o;
+ wire _al_u2246_o;
+ wire _al_u2247_o;
+ wire _al_u2248_o;
+ wire _al_u2249_o;
+ wire _al_u2250_o;
+ wire _al_u2251_o;
+ wire _al_u2252_o;
+ wire _al_u2253_o;
+ wire _al_u2254_o;
+ wire _al_u2255_o;
+ wire _al_u2256_o;
+ wire _al_u2257_o;
+ wire _al_u2258_o;
+ wire _al_u2259_o;
+ wire _al_u2260_o;
+ wire _al_u2261_o;
+ wire _al_u2262_o;
+ wire _al_u2263_o;
+ wire _al_u2264_o;
+ wire _al_u2265_o;
+ wire _al_u2266_o;
+ wire _al_u2267_o;
+ wire _al_u2268_o;
+ wire _al_u2269_o;
+ wire _al_u2270_o;
+ wire _al_u2271_o;
+ wire _al_u2272_o;
+ wire _al_u2273_o;
+ wire _al_u2274_o;
+ wire _al_u2275_o;
+ wire _al_u2276_o;
+ wire _al_u2277_o;
+ wire _al_u2278_o;
+ wire _al_u2279_o;
+ wire _al_u2280_o;
+ wire _al_u2281_o;
+ wire _al_u2282_o;
+ wire _al_u2283_o;
+ wire _al_u2284_o;
+ wire _al_u2285_o;
+ wire _al_u2286_o;
+ wire _al_u2287_o;
+ wire _al_u2288_o;
+ wire _al_u2289_o;
+ wire _al_u2290_o;
+ wire _al_u2292_o;
+ wire _al_u2294_o;
+ wire _al_u2295_o;
+ wire _al_u2296_o;
+ wire _al_u2297_o;
+ wire _al_u2299_o;
+ wire _al_u2300_o;
+ wire _al_u2301_o;
+ wire _al_u2302_o;
+ wire _al_u2303_o;
+ wire _al_u2304_o;
+ wire _al_u2305_o;
+ wire _al_u2307_o;
+ wire _al_u2308_o;
+ wire _al_u2309_o;
+ wire _al_u2310_o;
+ wire _al_u2311_o;
+ wire _al_u2312_o;
+ wire _al_u2313_o;
+ wire _al_u2314_o;
+ wire _al_u2315_o;
+ wire _al_u2316_o;
+ wire _al_u2317_o;
+ wire _al_u2318_o;
+ wire _al_u2319_o;
+ wire _al_u2320_o;
+ wire _al_u2321_o;
+ wire _al_u2322_o;
+ wire _al_u2323_o;
+ wire _al_u2324_o;
+ wire _al_u2325_o;
+ wire _al_u2326_o;
+ wire _al_u2327_o;
+ wire _al_u2328_o;
+ wire _al_u2329_o;
+ wire _al_u2330_o;
+ wire _al_u2331_o;
+ wire _al_u2332_o;
+ wire _al_u2333_o;
+ wire _al_u2334_o;
+ wire _al_u2335_o;
+ wire _al_u2336_o;
+ wire _al_u2337_o;
+ wire _al_u2338_o;
+ wire _al_u2339_o;
+ wire _al_u2340_o;
+ wire _al_u2341_o;
+ wire _al_u2342_o;
+ wire _al_u2343_o;
+ wire _al_u2344_o;
+ wire _al_u2345_o;
+ wire _al_u2346_o;
+ wire _al_u2347_o;
+ wire _al_u2348_o;
+ wire _al_u2349_o;
+ wire _al_u2350_o;
+ wire _al_u2351_o;
+ wire _al_u2352_o;
+ wire _al_u2353_o;
+ wire _al_u2354_o;
+ wire _al_u2355_o;
+ wire _al_u2356_o;
+ wire _al_u2357_o;
+ wire _al_u2358_o;
+ wire _al_u2359_o;
+ wire _al_u2360_o;
+ wire _al_u2361_o;
+ wire _al_u2362_o;
+ wire _al_u2363_o;
+ wire _al_u2364_o;
+ wire _al_u2365_o;
+ wire _al_u2366_o;
+ wire _al_u2367_o;
+ wire _al_u2368_o;
+ wire _al_u2369_o;
+ wire _al_u2370_o;
+ wire _al_u2371_o;
+ wire _al_u2372_o;
+ wire _al_u2373_o;
+ wire _al_u2374_o;
+ wire _al_u2375_o;
+ wire _al_u2376_o;
+ wire _al_u2377_o;
+ wire _al_u2378_o;
+ wire _al_u2380_o;
+ wire _al_u2382_o;
+ wire _al_u2383_o;
+ wire _al_u2384_o;
+ wire _al_u2385_o;
+ wire _al_u2387_o;
+ wire _al_u2388_o;
+ wire _al_u2390_o;
+ wire _al_u2391_o;
+ wire _al_u2392_o;
+ wire _al_u2393_o;
+ wire _al_u2394_o;
+ wire _al_u2396_o;
+ wire _al_u2398_o;
+ wire _al_u2399_o;
+ wire _al_u2401_o;
+ wire _al_u2403_o;
+ wire _al_u2404_o;
+ wire _al_u2405_o;
+ wire _al_u2407_o;
+ wire _al_u2409_o;
+ wire _al_u2410_o;
+ wire _al_u2412_o;
+ wire _al_u2413_o;
+ wire _al_u2414_o;
+ wire _al_u2415_o;
+ wire _al_u2416_o;
+ wire _al_u2418_o;
+ wire _al_u2419_o;
+ wire _al_u2420_o;
+ wire _al_u2421_o;
+ wire _al_u2423_o;
+ wire _al_u2424_o;
+ wire _al_u2425_o;
+ wire _al_u2426_o;
+ wire _al_u2427_o;
+ wire _al_u2428_o;
+ wire _al_u2429_o;
+ wire _al_u2430_o;
+ wire _al_u2431_o;
+ wire _al_u2432_o;
+ wire _al_u2433_o;
+ wire _al_u2434_o;
+ wire _al_u2435_o;
+ wire _al_u2436_o;
+ wire _al_u2437_o;
+ wire _al_u2438_o;
+ wire _al_u2439_o;
+ wire _al_u2441_o;
+ wire _al_u2442_o;
+ wire _al_u2443_o;
+ wire _al_u2444_o;
+ wire _al_u2446_o;
+ wire _al_u2448_o;
+ wire _al_u2449_o;
+ wire _al_u2450_o;
+ wire _al_u2451_o;
+ wire _al_u2452_o;
+ wire _al_u2453_o;
+ wire _al_u2454_o;
+ wire _al_u2455_o;
+ wire _al_u2456_o;
+ wire _al_u2457_o;
+ wire _al_u2458_o;
+ wire _al_u2459_o;
+ wire _al_u2460_o;
+ wire _al_u2461_o;
+ wire _al_u2462_o;
+ wire _al_u2463_o;
+ wire _al_u2464_o;
+ wire _al_u2465_o;
+ wire _al_u2466_o;
+ wire _al_u2468_o;
+ wire _al_u2469_o;
+ wire _al_u2470_o;
+ wire _al_u2471_o;
+ wire _al_u2472_o;
+ wire _al_u2473_o;
+ wire _al_u2474_o;
+ wire _al_u2475_o;
+ wire _al_u2476_o;
+ wire _al_u2477_o;
+ wire _al_u2478_o;
+ wire _al_u2479_o;
+ wire _al_u2480_o;
+ wire _al_u2481_o;
+ wire _al_u2482_o;
+ wire _al_u2483_o;
+ wire _al_u2484_o;
+ wire _al_u2485_o;
+ wire _al_u2486_o;
+ wire _al_u2487_o;
+ wire _al_u2488_o;
+ wire _al_u2489_o;
+ wire _al_u2490_o;
+ wire _al_u2492_o;
+ wire _al_u2493_o;
+ wire _al_u2494_o;
+ wire _al_u2495_o;
+ wire _al_u2496_o;
+ wire _al_u2497_o;
+ wire _al_u2498_o;
+ wire _al_u2499_o;
+ wire _al_u2500_o;
+ wire _al_u2501_o;
+ wire _al_u2502_o;
+ wire _al_u2503_o;
+ wire _al_u2504_o;
+ wire _al_u2505_o;
+ wire _al_u2506_o;
+ wire _al_u2507_o;
+ wire _al_u2508_o;
+ wire _al_u2509_o;
+ wire _al_u2510_o;
+ wire _al_u2511_o;
+ wire _al_u2512_o;
+ wire _al_u2513_o;
+ wire _al_u2514_o;
+ wire _al_u2515_o;
+ wire _al_u2516_o;
+ wire _al_u2517_o;
+ wire _al_u2518_o;
+ wire _al_u2519_o;
+ wire _al_u2520_o;
+ wire _al_u2521_o;
+ wire _al_u2522_o;
+ wire _al_u2523_o;
+ wire _al_u2524_o;
+ wire _al_u2525_o;
+ wire _al_u2526_o;
+ wire _al_u2527_o;
+ wire _al_u2528_o;
+ wire _al_u2529_o;
+ wire _al_u2530_o;
+ wire _al_u2531_o;
+ wire _al_u2532_o;
+ wire _al_u2533_o;
+ wire _al_u2534_o;
+ wire _al_u2535_o;
+ wire _al_u2536_o;
+ wire _al_u2537_o;
+ wire _al_u2538_o;
+ wire _al_u2540_o;
+ wire _al_u2542_o;
+ wire _al_u2544_o;
+ wire _al_u2546_o;
+ wire _al_u2547_o;
+ wire _al_u2548_o;
+ wire _al_u2549_o;
+ wire _al_u2550_o;
+ wire _al_u2551_o;
+ wire _al_u2552_o;
+ wire _al_u2553_o;
+ wire _al_u2554_o;
+ wire _al_u2555_o;
+ wire _al_u2556_o;
+ wire _al_u2557_o;
+ wire _al_u2558_o;
+ wire _al_u2560_o;
+ wire _al_u2562_o;
+ wire _al_u2563_o;
+ wire _al_u2565_o;
+ wire _al_u2567_o;
+ wire _al_u2568_o;
+ wire _al_u2570_o;
+ wire _al_u2572_o;
+ wire _al_u2573_o;
+ wire _al_u2575_o;
+ wire _al_u2576_o;
+ wire _al_u2577_o;
+ wire _al_u2579_o;
+ wire _al_u2580_o;
+ wire _al_u2581_o;
+ wire _al_u2582_o;
+ wire _al_u2583_o;
+ wire _al_u2584_o;
+ wire _al_u2585_o;
+ wire _al_u2586_o;
+ wire _al_u2587_o;
+ wire _al_u2588_o;
+ wire _al_u2589_o;
+ wire _al_u2591_o;
+ wire _al_u2592_o;
+ wire _al_u2593_o;
+ wire _al_u2594_o;
+ wire _al_u2596_o;
+ wire _al_u2598_o;
+ wire _al_u2599_o;
+ wire _al_u2600_o;
+ wire _al_u2601_o;
+ wire _al_u2602_o;
+ wire _al_u2603_o;
+ wire _al_u2604_o;
+ wire _al_u2605_o;
+ wire _al_u2606_o;
+ wire _al_u2607_o;
+ wire _al_u2608_o;
+ wire _al_u2609_o;
+ wire _al_u2610_o;
+ wire _al_u2611_o;
+ wire _al_u2612_o;
+ wire _al_u2613_o;
+ wire _al_u2614_o;
+ wire _al_u2615_o;
+ wire _al_u2616_o;
+ wire _al_u2617_o;
+ wire _al_u2618_o;
+ wire _al_u2619_o;
+ wire _al_u2620_o;
+ wire _al_u2621_o;
+ wire _al_u2622_o;
+ wire _al_u2623_o;
+ wire _al_u2624_o;
+ wire _al_u2625_o;
+ wire _al_u2626_o;
+ wire _al_u2627_o;
+ wire _al_u2628_o;
+ wire _al_u2629_o;
+ wire _al_u2630_o;
+ wire _al_u2631_o;
+ wire _al_u2632_o;
+ wire _al_u2633_o;
+ wire _al_u2634_o;
+ wire _al_u2635_o;
+ wire _al_u2637_o;
+ wire _al_u2638_o;
+ wire _al_u2640_o;
+ wire _al_u2641_o;
+ wire _al_u2642_o;
+ wire _al_u2643_o;
+ wire _al_u2645_o;
+ wire _al_u2648_o;
+ wire _al_u2650_o;
+ wire _al_u2653_o;
+ wire _al_u2654_o;
+ wire _al_u2655_o;
+ wire _al_u2656_o;
+ wire _al_u2657_o;
+ wire _al_u2658_o;
+ wire _al_u2659_o;
+ wire _al_u2660_o;
+ wire _al_u2661_o;
+ wire _al_u2662_o;
+ wire _al_u2663_o;
+ wire _al_u2664_o;
+ wire _al_u2665_o;
+ wire _al_u2666_o;
+ wire _al_u2668_o;
+ wire _al_u2670_o;
+ wire _al_u2671_o;
+ wire _al_u2673_o;
+ wire _al_u2675_o;
+ wire _al_u2676_o;
+ wire _al_u2677_o;
+ wire _al_u2678_o;
+ wire _al_u2679_o;
+ wire _al_u2680_o;
+ wire _al_u2681_o;
+ wire _al_u2682_o;
+ wire _al_u2683_o;
+ wire _al_u2684_o;
+ wire _al_u2685_o;
+ wire _al_u2686_o;
+ wire _al_u2687_o;
+ wire _al_u2688_o;
+ wire _al_u2689_o;
+ wire _al_u2690_o;
+ wire _al_u2691_o;
+ wire _al_u2692_o;
+ wire _al_u2693_o;
+ wire _al_u2694_o;
+ wire _al_u2695_o;
+ wire _al_u2696_o;
+ wire _al_u2697_o;
+ wire _al_u2698_o;
+ wire _al_u2699_o;
+ wire _al_u2700_o;
+ wire _al_u2701_o;
+ wire _al_u2702_o;
+ wire _al_u2703_o;
+ wire _al_u2704_o;
+ wire _al_u2705_o;
+ wire _al_u2706_o;
+ wire _al_u2707_o;
+ wire _al_u2708_o;
+ wire _al_u2709_o;
+ wire _al_u2710_o;
+ wire _al_u2711_o;
+ wire _al_u2712_o;
+ wire _al_u2713_o;
+ wire _al_u2714_o;
+ wire _al_u2715_o;
+ wire _al_u2716_o;
+ wire _al_u2717_o;
+ wire _al_u2718_o;
+ wire _al_u2719_o;
+ wire _al_u2720_o;
+ wire _al_u2721_o;
+ wire _al_u2722_o;
+ wire _al_u2723_o;
+ wire _al_u2724_o;
+ wire _al_u2725_o;
+ wire _al_u2726_o;
+ wire _al_u2727_o;
+ wire _al_u2729_o;
+ wire _al_u2730_o;
+ wire _al_u2731_o;
+ wire _al_u2732_o;
+ wire _al_u2733_o;
+ wire _al_u2734_o;
+ wire _al_u2735_o;
+ wire _al_u2736_o;
+ wire _al_u2737_o;
+ wire _al_u2738_o;
+ wire _al_u2739_o;
+ wire _al_u2740_o;
+ wire _al_u2741_o;
+ wire _al_u2742_o;
+ wire _al_u2743_o;
+ wire _al_u2744_o;
+ wire _al_u2745_o;
+ wire _al_u2746_o;
+ wire _al_u2747_o;
+ wire _al_u2748_o;
+ wire _al_u2749_o;
+ wire _al_u2750_o;
+ wire _al_u2751_o;
+ wire _al_u2752_o;
+ wire _al_u2753_o;
+ wire _al_u2754_o;
+ wire _al_u2755_o;
+ wire _al_u2756_o;
+ wire _al_u2757_o;
+ wire _al_u2758_o;
+ wire _al_u2759_o;
+ wire _al_u2760_o;
+ wire _al_u2762_o;
+ wire _al_u2763_o;
+ wire _al_u2764_o;
+ wire _al_u2765_o;
+ wire _al_u2767_o;
+ wire _al_u2769_o;
+ wire _al_u2770_o;
+ wire _al_u2771_o;
+ wire _al_u2772_o;
+ wire _al_u2773_o;
+ wire _al_u2774_o;
+ wire _al_u2775_o;
+ wire _al_u2776_o;
+ wire _al_u2777_o;
+ wire _al_u2778_o;
+ wire _al_u2779_o;
+ wire _al_u2780_o;
+ wire _al_u2781_o;
+ wire _al_u2782_o;
+ wire _al_u2783_o;
+ wire _al_u2784_o;
+ wire _al_u2785_o;
+ wire _al_u2786_o;
+ wire _al_u2787_o;
+ wire _al_u2788_o;
+ wire _al_u2789_o;
+ wire _al_u2790_o;
+ wire _al_u2791_o;
+ wire _al_u2792_o;
+ wire _al_u2793_o;
+ wire _al_u2794_o;
+ wire _al_u2795_o;
+ wire _al_u2796_o;
+ wire _al_u2797_o;
+ wire _al_u2798_o;
+ wire _al_u2799_o;
+ wire _al_u2800_o;
+ wire _al_u2801_o;
+ wire _al_u2802_o;
+ wire _al_u2803_o;
+ wire _al_u2804_o;
+ wire _al_u2805_o;
+ wire _al_u2806_o;
+ wire _al_u2807_o;
+ wire _al_u2809_o;
+ wire _al_u2811_o;
+ wire _al_u2812_o;
+ wire _al_u2813_o;
+ wire _al_u2814_o;
+ wire _al_u2815_o;
+ wire _al_u2816_o;
+ wire _al_u2818_o;
+ wire _al_u2819_o;
+ wire _al_u2821_o;
+ wire _al_u2823_o;
+ wire _al_u2824_o;
+ wire _al_u2825_o;
+ wire _al_u2827_o;
+ wire _al_u2829_o;
+ wire _al_u2830_o;
+ wire _al_u2832_o;
+ wire _al_u2834_o;
+ wire _al_u2835_o;
+ wire _al_u2836_o;
+ wire _al_u2838_o;
+ wire _al_u2840_o;
+ wire _al_u2841_o;
+ wire _al_u2843_o;
+ wire _al_u2844_o;
+ wire _al_u2845_o;
+ wire _al_u2846_o;
+ wire _al_u2847_o;
+ wire _al_u2848_o;
+ wire _al_u2849_o;
+ wire _al_u2850_o;
+ wire _al_u2851_o;
+ wire _al_u2852_o;
+ wire _al_u2853_o;
+ wire _al_u2854_o;
+ wire _al_u2855_o;
+ wire _al_u2856_o;
+ wire _al_u2857_o;
+ wire _al_u2858_o;
+ wire _al_u2859_o;
+ wire _al_u2860_o;
+ wire _al_u2861_o;
+ wire _al_u2862_o;
+ wire _al_u2863_o;
+ wire _al_u2864_o;
+ wire _al_u2865_o;
+ wire _al_u2866_o;
+ wire _al_u2867_o;
+ wire _al_u2868_o;
+ wire _al_u2869_o;
+ wire _al_u2870_o;
+ wire _al_u2872_o;
+ wire _al_u2874_o;
+ wire _al_u2876_o;
+ wire _al_u2877_o;
+ wire _al_u2879_o;
+ wire _al_u2880_o;
+ wire _al_u2881_o;
+ wire _al_u2882_o;
+ wire _al_u2883_o;
+ wire _al_u2884_o;
+ wire _al_u2885_o;
+ wire _al_u2886_o;
+ wire _al_u2887_o;
+ wire _al_u2888_o;
+ wire _al_u2889_o;
+ wire _al_u2890_o;
+ wire _al_u2891_o;
+ wire _al_u2892_o;
+ wire _al_u2893_o;
+ wire _al_u2894_o;
+ wire _al_u2895_o;
+ wire _al_u2896_o;
+ wire _al_u2897_o;
+ wire _al_u2898_o;
+ wire _al_u2899_o;
+ wire _al_u2900_o;
+ wire _al_u2901_o;
+ wire _al_u2903_o;
+ wire _al_u2904_o;
+ wire _al_u2905_o;
+ wire _al_u2906_o;
+ wire _al_u2907_o;
+ wire _al_u2908_o;
+ wire _al_u2909_o;
+ wire _al_u2910_o;
+ wire _al_u2911_o;
+ wire _al_u2913_o;
+ wire _al_u2914_o;
+ wire _al_u2915_o;
+ wire _al_u2917_o;
+ wire _al_u2919_o;
+ wire _al_u2920_o;
+ wire _al_u2922_o;
+ wire _al_u2923_o;
+ wire _al_u2924_o;
+ wire _al_u2926_o;
+ wire _al_u2928_o;
+ wire _al_u2929_o;
+ wire _al_u2931_o;
+ wire _al_u2933_o;
+ wire _al_u2934_o;
+ wire _al_u2936_o;
+ wire _al_u2937_o;
+ wire _al_u2938_o;
+ wire _al_u2939_o;
+ wire _al_u2940_o;
+ wire _al_u2941_o;
+ wire _al_u2943_o;
+ wire _al_u2944_o;
+ wire _al_u2945_o;
+ wire _al_u2946_o;
+ wire _al_u2947_o;
+ wire _al_u2948_o;
+ wire _al_u2949_o;
+ wire _al_u2950_o;
+ wire _al_u2951_o;
+ wire _al_u2952_o;
+ wire _al_u2953_o;
+ wire _al_u2954_o;
+ wire _al_u2955_o;
+ wire _al_u2956_o;
+ wire _al_u2957_o;
+ wire _al_u2958_o;
+ wire _al_u2959_o;
+ wire _al_u2960_o;
+ wire _al_u2961_o;
+ wire _al_u2962_o;
+ wire _al_u2963_o;
+ wire _al_u2964_o;
+ wire _al_u2965_o;
+ wire _al_u2966_o;
+ wire _al_u2968_o;
+ wire _al_u2969_o;
+ wire _al_u2970_o;
+ wire _al_u2971_o;
+ wire _al_u2972_o;
+ wire _al_u2973_o;
+ wire _al_u2974_o;
+ wire _al_u2975_o;
+ wire _al_u2976_o;
+ wire _al_u2978_o;
+ wire _al_u2979_o;
+ wire _al_u2980_o;
+ wire _al_u2982_o;
+ wire _al_u2983_o;
+ wire _al_u2985_o;
+ wire _al_u2986_o;
+ wire _al_u2987_o;
+ wire _al_u2988_o;
+ wire _al_u2989_o;
+ wire _al_u2990_o;
+ wire _al_u2991_o;
+ wire _al_u2992_o;
+ wire _al_u2993_o;
+ wire _al_u2994_o;
+ wire _al_u2995_o;
+ wire _al_u2996_o;
+ wire _al_u2997_o;
+ wire _al_u2998_o;
+ wire _al_u2999_o;
+ wire _al_u3000_o;
+ wire _al_u3001_o;
+ wire _al_u3002_o;
+ wire _al_u3003_o;
+ wire _al_u3004_o;
+ wire _al_u3005_o;
+ wire _al_u3006_o;
+ wire _al_u3007_o;
+ wire _al_u3008_o;
+ wire _al_u3010_o;
+ wire _al_u3011_o;
+ wire _al_u3012_o;
+ wire _al_u3013_o;
+ wire _al_u3014_o;
+ wire _al_u3015_o;
+ wire _al_u3016_o;
+ wire _al_u3017_o;
+ wire _al_u3018_o;
+ wire _al_u3019_o;
+ wire _al_u3020_o;
+ wire _al_u3022_o;
+ wire _al_u3023_o;
+ wire _al_u3024_o;
+ wire _al_u3025_o;
+ wire _al_u3026_o;
+ wire _al_u3027_o;
+ wire _al_u3029_o;
+ wire _al_u3031_o;
+ wire _al_u3032_o;
+ wire _al_u3033_o;
+ wire _al_u3034_o;
+ wire _al_u3035_o;
+ wire _al_u3036_o;
+ wire _al_u3037_o;
+ wire _al_u3038_o;
+ wire _al_u3039_o;
+ wire _al_u3040_o;
+ wire _al_u3041_o;
+ wire _al_u3042_o;
+ wire _al_u3043_o;
+ wire _al_u3044_o;
+ wire _al_u3045_o;
+ wire _al_u3046_o;
+ wire _al_u3047_o;
+ wire _al_u3048_o;
+ wire _al_u3049_o;
+ wire _al_u3050_o;
+ wire _al_u3051_o;
+ wire _al_u3052_o;
+ wire _al_u3053_o;
+ wire _al_u3054_o;
+ wire _al_u3055_o;
+ wire _al_u3056_o;
+ wire _al_u3057_o;
+ wire _al_u3058_o;
+ wire _al_u3059_o;
+ wire _al_u3060_o;
+ wire _al_u3061_o;
+ wire _al_u3063_o;
+ wire _al_u3064_o;
+ wire _al_u3065_o;
+ wire _al_u3066_o;
+ wire _al_u3068_o;
+ wire _al_u3070_o;
+ wire _al_u3071_o;
+ wire _al_u3072_o;
+ wire _al_u3074_o;
+ wire _al_u3076_o;
+ wire _al_u3078_o;
+ wire _al_u3080_o;
+ wire _al_u3082_o;
+ wire _al_u3084_o;
+ wire _al_u3086_o;
+ wire _al_u3088_o;
+ wire _al_u3089_o;
+ wire _al_u3090_o;
+ wire _al_u3091_o;
+ wire _al_u3092_o;
+ wire _al_u3093_o;
+ wire _al_u3094_o;
+ wire _al_u3095_o;
+ wire _al_u3096_o;
+ wire _al_u3097_o;
+ wire _al_u3098_o;
+ wire _al_u3099_o;
+ wire _al_u3100_o;
+ wire _al_u3101_o;
+ wire _al_u3102_o;
+ wire _al_u3103_o;
+ wire _al_u3104_o;
+ wire _al_u3105_o;
+ wire _al_u3106_o;
+ wire _al_u3107_o;
+ wire _al_u3108_o;
+ wire _al_u3109_o;
+ wire _al_u3110_o;
+ wire _al_u3111_o;
+ wire _al_u3112_o;
+ wire _al_u3113_o;
+ wire _al_u3114_o;
+ wire _al_u3115_o;
+ wire _al_u3116_o;
+ wire _al_u3118_o;
+ wire _al_u3121_o;
+ wire _al_u3123_o;
+ wire _al_u3126_o;
+ wire _al_u3127_o;
+ wire _al_u3128_o;
+ wire _al_u3129_o;
+ wire _al_u3130_o;
+ wire _al_u3131_o;
+ wire _al_u3132_o;
+ wire _al_u3133_o;
+ wire _al_u3134_o;
+ wire _al_u3135_o;
+ wire _al_u3136_o;
+ wire _al_u3137_o;
+ wire _al_u3138_o;
+ wire _al_u3139_o;
+ wire _al_u3140_o;
+ wire _al_u3141_o;
+ wire _al_u3142_o;
+ wire _al_u3143_o;
+ wire _al_u3144_o;
+ wire _al_u3145_o;
+ wire _al_u3146_o;
+ wire _al_u3147_o;
+ wire _al_u3148_o;
+ wire _al_u3149_o;
+ wire _al_u3150_o;
+ wire _al_u3151_o;
+ wire _al_u3152_o;
+ wire _al_u3153_o;
+ wire _al_u3155_o;
+ wire _al_u3158_o;
+ wire _al_u3159_o;
+ wire _al_u3160_o;
+ wire _al_u3162_o;
+ wire _al_u3164_o;
+ wire _al_u3165_o;
+ wire _al_u3167_o;
+ wire _al_u3169_o;
+ wire _al_u3170_o;
+ wire _al_u3172_o;
+ wire _al_u3174_o;
+ wire _al_u3175_o;
+ wire _al_u3177_o;
+ wire _al_u3179_o;
+ wire _al_u3180_o;
+ wire _al_u3182_o;
+ wire _al_u3183_o;
+ wire _al_u3184_o;
+ wire _al_u3185_o;
+ wire _al_u3187_o;
+ wire _al_u3189_o;
+ wire _al_u3190_o;
+ wire _al_u3191_o;
+ wire _al_u3192_o;
+ wire _al_u3193_o;
+ wire _al_u3194_o;
+ wire _al_u3195_o;
+ wire _al_u3196_o;
+ wire _al_u3197_o;
+ wire _al_u3198_o;
+ wire _al_u3199_o;
+ wire _al_u3200_o;
+ wire _al_u3201_o;
+ wire _al_u3202_o;
+ wire _al_u3203_o;
+ wire _al_u3204_o;
+ wire _al_u3205_o;
+ wire _al_u3206_o;
+ wire _al_u3207_o;
+ wire _al_u3208_o;
+ wire _al_u3209_o;
+ wire _al_u3210_o;
+ wire _al_u3211_o;
+ wire _al_u3212_o;
+ wire _al_u3213_o;
+ wire _al_u3215_o;
+ wire _al_u3217_o;
+ wire _al_u3218_o;
+ wire _al_u3220_o;
+ wire _al_u3222_o;
+ wire _al_u3223_o;
+ wire _al_u3224_o;
+ wire _al_u3225_o;
+ wire _al_u3226_o;
+ wire _al_u3227_o;
+ wire _al_u3228_o;
+ wire _al_u3229_o;
+ wire _al_u3230_o;
+ wire _al_u3231_o;
+ wire _al_u3232_o;
+ wire _al_u3233_o;
+ wire _al_u3234_o;
+ wire _al_u3236_o;
+ wire _al_u3238_o;
+ wire _al_u3239_o;
+ wire _al_u3240_o;
+ wire _al_u3241_o;
+ wire _al_u3242_o;
+ wire _al_u3243_o;
+ wire _al_u3244_o;
+ wire _al_u3245_o;
+ wire _al_u3246_o;
+ wire _al_u3248_o;
+ wire _al_u3250_o;
+ wire _al_u3251_o;
+ wire _al_u3253_o;
+ wire _al_u3255_o;
+ wire _al_u3256_o;
+ wire _al_u3257_o;
+ wire _al_u3258_o;
+ wire _al_u3259_o;
+ wire _al_u3260_o;
+ wire _al_u3261_o;
+ wire _al_u3262_o;
+ wire _al_u3263_o;
+ wire _al_u3264_o;
+ wire _al_u3265_o;
+ wire _al_u3266_o;
+ wire _al_u3267_o;
+ wire _al_u3268_o;
+ wire _al_u3270_o;
+ wire _al_u3272_o;
+ wire _al_u3274_o;
+ wire _al_u3275_o;
+ wire _al_u3276_o;
+ wire _al_u3278_o;
+ wire _al_u3279_o;
+ wire _al_u3280_o;
+ wire _al_u3281_o;
+ wire _al_u3282_o;
+ wire _al_u3283_o;
+ wire _al_u3284_o;
+ wire _al_u3285_o;
+ wire _al_u3286_o;
+ wire _al_u3287_o;
+ wire _al_u3288_o;
+ wire _al_u3289_o;
+ wire _al_u3290_o;
+ wire _al_u3291_o;
+ wire _al_u3292_o;
+ wire _al_u3293_o;
+ wire _al_u3294_o;
+ wire _al_u3295_o;
+ wire _al_u3296_o;
+ wire _al_u3297_o;
+ wire _al_u3298_o;
+ wire _al_u3299_o;
+ wire _al_u3300_o;
+ wire _al_u3301_o;
+ wire _al_u3302_o;
+ wire _al_u3303_o;
+ wire _al_u3304_o;
+ wire _al_u3305_o;
+ wire _al_u3306_o;
+ wire _al_u3307_o;
+ wire _al_u3308_o;
+ wire _al_u3309_o;
+ wire _al_u3310_o;
+ wire _al_u3312_o;
+ wire _al_u3313_o;
+ wire _al_u3314_o;
+ wire _al_u3315_o;
+ wire _al_u3316_o;
+ wire _al_u3317_o;
+ wire _al_u3318_o;
+ wire _al_u3319_o;
+ wire _al_u3320_o;
+ wire _al_u3321_o;
+ wire _al_u3323_o;
+ wire _al_u3325_o;
+ wire _al_u3326_o;
+ wire _al_u3328_o;
+ wire _al_u3330_o;
+ wire _al_u3331_o;
+ wire _al_u3332_o;
+ wire _al_u3333_o;
+ wire _al_u3334_o;
+ wire _al_u3335_o;
+ wire _al_u3336_o;
+ wire _al_u3337_o;
+ wire _al_u3338_o;
+ wire _al_u3339_o;
+ wire _al_u3340_o;
+ wire _al_u3341_o;
+ wire _al_u3342_o;
+ wire _al_u3343_o;
+ wire _al_u3345_o;
+ wire _al_u3347_o;
+ wire _al_u3348_o;
+ wire _al_u3350_o;
+ wire _al_u3352_o;
+ wire _al_u3353_o;
+ wire _al_u3354_o;
+ wire _al_u3355_o;
+ wire _al_u3356_o;
+ wire _al_u3357_o;
+ wire _al_u3358_o;
+ wire _al_u3359_o;
+ wire _al_u3360_o;
+ wire _al_u3361_o;
+ wire _al_u3362_o;
+ wire _al_u3363_o;
+ wire _al_u3364_o;
+ wire _al_u3365_o;
+ wire _al_u3366_o;
+ wire _al_u3367_o;
+ wire _al_u3368_o;
+ wire _al_u3369_o;
+ wire _al_u3370_o;
+ wire _al_u3371_o;
+ wire _al_u3372_o;
+ wire _al_u3373_o;
+ wire _al_u3374_o;
+ wire _al_u3375_o;
+ wire _al_u3376_o;
+ wire _al_u3377_o;
+ wire _al_u3378_o;
+ wire _al_u3379_o;
+ wire _al_u3380_o;
+ wire _al_u3381_o;
+ wire _al_u3382_o;
+ wire _al_u3383_o;
+ wire _al_u3384_o;
+ wire _al_u3385_o;
+ wire _al_u3386_o;
+ wire _al_u3387_o;
+ wire _al_u3388_o;
+ wire _al_u3389_o;
+ wire _al_u3390_o;
+ wire _al_u3391_o;
+ wire _al_u3392_o;
+ wire _al_u3393_o;
+ wire _al_u3394_o;
+ wire _al_u3395_o;
+ wire _al_u3396_o;
+ wire _al_u3397_o;
+ wire _al_u3398_o;
+ wire _al_u3399_o;
+ wire _al_u3400_o;
+ wire _al_u3401_o;
+ wire _al_u3402_o;
+ wire _al_u3403_o;
+ wire _al_u3404_o;
+ wire _al_u3405_o;
+ wire _al_u3406_o;
+ wire _al_u3407_o;
+ wire _al_u3408_o;
+ wire _al_u3409_o;
+ wire _al_u3410_o;
+ wire _al_u3411_o;
+ wire _al_u3412_o;
+ wire _al_u3413_o;
+ wire _al_u3414_o;
+ wire _al_u3415_o;
+ wire _al_u3416_o;
+ wire _al_u3417_o;
+ wire _al_u3418_o;
+ wire _al_u3420_o;
+ wire _al_u3434_o;
+ wire _al_u3437_o;
+ wire _al_u3438_o;
+ wire _al_u3440_o;
+ wire _al_u3441_o;
+ wire _al_u3443_o;
+ wire _al_u3444_o;
+ wire _al_u3446_o;
+ wire _al_u3448_o;
+ wire _al_u3450_o;
+ wire _al_u3452_o;
+ wire _al_u3454_o;
+ wire _al_u3459_o;
+ wire _al_u3464_o;
+ wire _al_u3469_o;
+ wire _al_u3474_o;
+ wire _al_u3479_o;
+ wire _al_u3484_o;
+ wire _al_u3716_o;
+ wire _al_u3750_o;
+ wire _al_u553_o;
+ wire _al_u576_o;
+ wire _al_u578_o;
+ wire _al_u620_o;
+ wire _al_u621_o;
+ wire _al_u623_o;
+ wire _al_u624_o;
+ wire _al_u626_o;
+ wire _al_u627_o;
+ wire _al_u628_o;
+ wire _al_u630_o;
+ wire _al_u635_o;
+ wire _al_u636_o;
+ wire _al_u637_o;
+ wire _al_u640_o;
+ wire _al_u643_o;
+ wire _al_u644_o;
+ wire _al_u645_o;
+ wire _al_u647_o;
+ wire _al_u649_o;
+ wire _al_u651_o;
+ wire _al_u652_o;
+ wire _al_u653_o;
+ wire _al_u654_o;
+ wire _al_u657_o;
+ wire _al_u658_o;
+ wire _al_u659_o;
+ wire _al_u660_o;
+ wire _al_u661_o;
+ wire _al_u662_o;
+ wire _al_u663_o;
+ wire _al_u664_o;
+ wire _al_u665_o;
+ wire _al_u666_o;
+ wire _al_u667_o;
+ wire _al_u668_o;
+ wire _al_u669_o;
+ wire _al_u670_o;
+ wire _al_u671_o;
+ wire _al_u672_o;
+ wire _al_u673_o;
+ wire _al_u674_o;
+ wire _al_u675_o;
+ wire _al_u676_o;
+ wire _al_u677_o;
+ wire _al_u678_o;
+ wire _al_u679_o;
+ wire _al_u680_o;
+ wire _al_u681_o;
+ wire _al_u683_o;
+ wire _al_u686_o;
+ wire _al_u688_o;
+ wire _al_u691_o;
+ wire _al_u692_o;
+ wire _al_u693_o;
+ wire _al_u694_o;
+ wire _al_u695_o;
+ wire _al_u696_o;
+ wire _al_u697_o;
+ wire _al_u698_o;
+ wire _al_u699_o;
+ wire _al_u700_o;
+ wire _al_u701_o;
+ wire _al_u702_o;
+ wire _al_u703_o;
+ wire _al_u704_o;
+ wire _al_u705_o;
+ wire _al_u706_o;
+ wire _al_u707_o;
+ wire _al_u708_o;
+ wire _al_u709_o;
+ wire _al_u710_o;
+ wire _al_u711_o;
+ wire _al_u712_o;
+ wire _al_u713_o;
+ wire _al_u714_o;
+ wire _al_u715_o;
+ wire _al_u716_o;
+ wire _al_u717_o;
+ wire _al_u718_o;
+ wire _al_u719_o;
+ wire _al_u720_o;
+ wire _al_u721_o;
+ wire _al_u722_o;
+ wire _al_u723_o;
+ wire _al_u725_o;
+ wire _al_u726_o;
+ wire _al_u727_o;
+ wire _al_u728_o;
+ wire _al_u729_o;
+ wire _al_u730_o;
+ wire _al_u732_o;
+ wire _al_u733_o;
+ wire _al_u735_o;
+ wire _al_u736_o;
+ wire _al_u737_o;
+ wire _al_u738_o;
+ wire _al_u740_o;
+ wire _al_u742_o;
+ wire _al_u743_o;
+ wire _al_u744_o;
+ wire _al_u745_o;
+ wire _al_u746_o;
+ wire _al_u747_o;
+ wire _al_u748_o;
+ wire _al_u749_o;
+ wire _al_u750_o;
+ wire _al_u751_o;
+ wire _al_u752_o;
+ wire _al_u753_o;
+ wire _al_u754_o;
+ wire _al_u756_o;
+ wire _al_u758_o;
+ wire _al_u759_o;
+ wire _al_u761_o;
+ wire _al_u763_o;
+ wire _al_u764_o;
+ wire _al_u765_o;
+ wire _al_u766_o;
+ wire _al_u767_o;
+ wire _al_u768_o;
+ wire _al_u769_o;
+ wire _al_u770_o;
+ wire _al_u771_o;
+ wire _al_u772_o;
+ wire _al_u773_o;
+ wire _al_u774_o;
+ wire _al_u775_o;
+ wire _al_u777_o;
+ wire _al_u779_o;
+ wire _al_u780_o;
+ wire _al_u782_o;
+ wire _al_u784_o;
+ wire _al_u785_o;
+ wire _al_u786_o;
+ wire _al_u787_o;
+ wire _al_u788_o;
+ wire _al_u789_o;
+ wire _al_u790_o;
+ wire _al_u791_o;
+ wire _al_u792_o;
+ wire _al_u793_o;
+ wire _al_u794_o;
+ wire _al_u795_o;
+ wire _al_u796_o;
+ wire _al_u797_o;
+ wire _al_u798_o;
+ wire _al_u799_o;
+ wire _al_u800_o;
+ wire _al_u801_o;
+ wire _al_u802_o;
+ wire _al_u803_o;
+ wire _al_u804_o;
+ wire _al_u805_o;
+ wire _al_u806_o;
+ wire _al_u807_o;
+ wire _al_u808_o;
+ wire _al_u809_o;
+ wire _al_u810_o;
+ wire _al_u811_o;
+ wire _al_u812_o;
+ wire _al_u813_o;
+ wire _al_u814_o;
+ wire _al_u815_o;
+ wire _al_u816_o;
+ wire _al_u817_o;
+ wire _al_u819_o;
+ wire _al_u821_o;
+ wire _al_u822_o;
+ wire _al_u824_o;
+ wire _al_u826_o;
+ wire _al_u827_o;
+ wire _al_u828_o;
+ wire _al_u829_o;
+ wire _al_u830_o;
+ wire _al_u831_o;
+ wire _al_u833_o;
+ wire _al_u835_o;
+ wire _al_u836_o;
+ wire _al_u838_o;
+ wire _al_u840_o;
+ wire _al_u841_o;
+ wire _al_u843_o;
+ wire _al_u845_o;
+ wire _al_u846_o;
+ wire _al_u848_o;
+ wire _al_u850_o;
+ wire _al_u851_o;
+ wire _al_u852_o;
+ wire _al_u853_o;
+ wire _al_u854_o;
+ wire _al_u855_o;
+ wire _al_u856_o;
+ wire _al_u857_o;
+ wire _al_u858_o;
+ wire _al_u860_o;
+ wire _al_u861_o;
+ wire _al_u862_o;
+ wire _al_u863_o;
+ wire _al_u864_o;
+ wire _al_u865_o;
+ wire _al_u866_o;
+ wire _al_u867_o;
+ wire _al_u868_o;
+ wire _al_u870_o;
+ wire _al_u871_o;
+ wire _al_u872_o;
+ wire _al_u873_o;
+ wire _al_u874_o;
+ wire _al_u875_o;
+ wire _al_u876_o;
+ wire _al_u877_o;
+ wire _al_u878_o;
+ wire _al_u879_o;
+ wire _al_u880_o;
+ wire _al_u881_o;
+ wire _al_u882_o;
+ wire _al_u883_o;
+ wire _al_u884_o;
+ wire _al_u885_o;
+ wire _al_u886_o;
+ wire _al_u887_o;
+ wire _al_u888_o;
+ wire _al_u889_o;
+ wire _al_u890_o;
+ wire _al_u891_o;
+ wire _al_u892_o;
+ wire _al_u893_o;
+ wire _al_u894_o;
+ wire _al_u895_o;
+ wire _al_u896_o;
+ wire _al_u897_o;
+ wire _al_u898_o;
+ wire _al_u899_o;
+ wire _al_u900_o;
+ wire _al_u901_o;
+ wire _al_u902_o;
+ wire _al_u903_o;
+ wire _al_u904_o;
+ wire _al_u905_o;
+ wire _al_u906_o;
+ wire _al_u907_o;
+ wire _al_u908_o;
+ wire _al_u909_o;
+ wire _al_u910_o;
+ wire _al_u911_o;
+ wire _al_u912_o;
+ wire _al_u913_o;
+ wire _al_u914_o;
+ wire _al_u915_o;
+ wire _al_u916_o;
+ wire _al_u917_o;
+ wire _al_u919_o;
+ wire _al_u921_o;
+ wire _al_u923_o;
+ wire _al_u925_o;
+ wire _al_u926_o;
+ wire _al_u927_o;
+ wire _al_u929_o;
+ wire _al_u930_o;
+ wire _al_u931_o;
+ wire _al_u932_o;
+ wire _al_u934_o;
+ wire _al_u936_o;
+ wire _al_u937_o;
+ wire _al_u938_o;
+ wire _al_u939_o;
+ wire _al_u940_o;
+ wire _al_u942_o;
+ wire _al_u943_o;
+ wire _al_u944_o;
+ wire _al_u945_o;
+ wire _al_u946_o;
+ wire _al_u947_o;
+ wire _al_u948_o;
+ wire _al_u950_o;
+ wire _al_u952_o;
+ wire _al_u953_o;
+ wire _al_u955_o;
+ wire _al_u956_o;
+ wire _al_u958_o;
+ wire _al_u959_o;
+ wire _al_u960_o;
+ wire _al_u962_o;
+ wire _al_u963_o;
+ wire _al_u964_o;
+ wire _al_u966_o;
+ wire _al_u968_o;
+ wire _al_u969_o;
+ wire _al_u970_o;
+ wire _al_u972_o;
+ wire _al_u974_o;
+ wire _al_u976_o;
+ wire _al_u977_o;
+ wire _al_u979_o;
+ wire _al_u981_o;
+ wire _al_u983_o;
+ wire _al_u985_o;
+ wire _al_u987_o;
+ wire _al_u988_o;
+ wire _al_u990_o;
+ wire _al_u991_o;
+ wire _al_u992_o;
+ wire _al_u993_o;
+ wire _al_u994_o;
+ wire _al_u995_o;
+ wire _al_u996_o;
+ wire _al_u997_o;
+ wire _al_u998_o;
+ wire _al_u999_o;
+ wire adc_Power_down; // ../rtl/demodulation/FM_HW.v(22)
+ wire and_n4_n1_o;
+ wire clk_PWM1; // ../rtl/demodulation/FM_HW.v(74)
+ wire clk_fm_demo_sampling; // ../rtl/demodulation/FM_HW.v(155)
+ wire mux3_b2_sel_is_0_o;
+ wire n22;
+ wire \u1/c11 ; // ../rtl/demodulation/FM_RSSI.v(50)
+ wire \u1/c15 ; // ../rtl/demodulation/FM_RSSI.v(50)
+ wire \u1/c3 ; // ../rtl/demodulation/FM_RSSI.v(50)
+ wire \u1/c7 ; // ../rtl/demodulation/FM_RSSI.v(50)
+ wire \u2/c11 ; // ../rtl/demodulation/FM_RSSI.v(50)
+ wire \u2/c15 ; // ../rtl/demodulation/FM_RSSI.v(50)
+ wire \u2/c19 ; // ../rtl/demodulation/FM_RSSI.v(50)
+ wire \u2/c23 ; // ../rtl/demodulation/FM_RSSI.v(50)
+ wire \u2/c3 ; // ../rtl/demodulation/FM_RSSI.v(50)
+ wire \u2/c7 ; // ../rtl/demodulation/FM_RSSI.v(50)
+
+ assign Demo_Dump_Done_Interrupt = 1'b0;
+ assign FM_HW_state[0] = 1'b0;
+ assign IQ_Write_Done_interrupt = \FM_Dump_Data_IQ/Dump_done ;
+ assign LED_Out[7] = LED_Out[6];
+ assign LED_Out[5] = LED_Out[6];
+ assign LED_Out[4] = LED_Out[6];
+ assign LED_Out[2] = LED_Out[3];
+ assign LED_Out[1] = LED_Out[3];
+ assign LED_Out[0] = LED_Out[3];
+ assign audio_pwm = LED_Out[6];
+ assign rdata[31] = 1'b0;
+ assign rdata[30] = 1'b0;
+ assign rdata[29] = 1'b0;
+ assign rdata[28] = 1'b0;
+ assign rdata[27] = 1'b0;
+ assign rdata[26] = 1'b0;
+ assign rdata[25] = 1'b0;
+ assign rdata[24] = 1'b0;
+ assign rdata[23] = 1'b0;
+ assign rdata[22] = 1'b0;
+ assign rdata[21] = 1'b0;
+ assign rdata[20] = 1'b0;
+ assign rdata[19] = 1'b0;
+ assign rdata[18] = 1'b0;
+ assign rdata[17] = 1'b0;
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \Audio_PWM/N_1_reg (
+ .ce(RSTn),
+ .clk(clk_PWM1),
+ .d(\Audio_PWM/N ),
+ .q(\Audio_PWM/N_1 )); // ../rtl/peripherals/Audio_PWM.v(21)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \Audio_PWM/N_reg (
+ .ce(RSTn),
+ .clk(clk_PWM1),
+ .d(clk_fm_demo_sampling),
+ .q(\Audio_PWM/N )); // ../rtl/peripherals/Audio_PWM.v(21)
+ EG_PHY_LSLICE #(
+ //.MACRO("Audio_PWM/add0/ucin_al_u4004"),
+ //.R_POSITION("X0Y1Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \Audio_PWM/add0/u11_al_u4007 (
+ .a({open_n2,\Audio_PWM/cnt [11]}),
+ .c(2'b00),
+ .d({open_n7,1'b0}),
+ .fci(\Audio_PWM/add0/c11 ),
+ .f({open_n24,\Audio_PWM/n2 [11]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("Audio_PWM/add0/ucin_al_u4004"),
+ //.R_POSITION("X0Y0Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \Audio_PWM/add0/u3_al_u4005 (
+ .a({\Audio_PWM/cnt [5],\Audio_PWM/cnt [3]}),
+ .b({\Audio_PWM/cnt [6],\Audio_PWM/cnt [4]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\Audio_PWM/add0/c3 ),
+ .f({\Audio_PWM/n2 [5],\Audio_PWM/n2 [3]}),
+ .fco(\Audio_PWM/add0/c7 ),
+ .fx({\Audio_PWM/n2 [6],\Audio_PWM/n2 [4]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("Audio_PWM/add0/ucin_al_u4004"),
+ //.R_POSITION("X0Y1Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \Audio_PWM/add0/u7_al_u4006 (
+ .a({\Audio_PWM/cnt [9],\Audio_PWM/cnt [7]}),
+ .b({\Audio_PWM/cnt [10],\Audio_PWM/cnt [8]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\Audio_PWM/add0/c7 ),
+ .f({\Audio_PWM/n2 [9],\Audio_PWM/n2 [7]}),
+ .fco(\Audio_PWM/add0/c11 ),
+ .fx({\Audio_PWM/n2 [10],\Audio_PWM/n2 [8]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("Audio_PWM/add0/ucin_al_u4004"),
+ //.R_POSITION("X0Y0Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'h000A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \Audio_PWM/add0/ucin_al_u4004 (
+ .a({\Audio_PWM/cnt [1],1'b0}),
+ .b({\Audio_PWM/cnt [2],\Audio_PWM/cnt [0]}),
+ .c(2'b00),
+ .d(2'b01),
+ .e(2'b01),
+ .f({\Audio_PWM/n2 [1],open_n83}),
+ .fco(\Audio_PWM/add0/c3 ),
+ .fx({\Audio_PWM/n2 [2],\Audio_PWM/n2 [0]}));
+ EG_PHY_MSLICE #(
+ //.MACRO("Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
+ //.R_POSITION("X0Y3Z0"),
+ .ALUTYPE("A_LE_B"),
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"),
+ .REG1_REGSET("RESET"),
+ .REG1_SD("F"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Audio_PWM/audio_pwm_reg_reg|Audio_PWM/lt1_11 (
+ .a(2'b00),
+ .b({1'b1,\Audio_PWM/cnt [11]}),
+ .clk(clk_PWM1),
+ .fci(\Audio_PWM/lt1_c11 ),
+ .sr(RSTn),
+ .q({\Audio_PWM/audio_pwm_reg ,open_n107}));
+ EG_PHY_MSLICE #(
+ //.MACRO("Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
+ //.R_POSITION("X0Y0Z0"),
+ .ALUTYPE("A_LE_B_CARRY"),
+ .INIT_LUT0(16'b0000000000001010),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \Audio_PWM/lt1_0|Audio_PWM/lt1_cin (
+ .a({demodulated_signal_downsample[0],1'b1}),
+ .b({\Audio_PWM/cnt [0],open_n108}),
+ .fco(\Audio_PWM/lt1_c1 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
+ //.R_POSITION("X0Y2Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \Audio_PWM/lt1_10|Audio_PWM/lt1_9 (
+ .a({1'b0,demodulated_signal_downsample[9]}),
+ .b(\Audio_PWM/cnt [10:9]),
+ .fci(\Audio_PWM/lt1_c9 ),
+ .fco(\Audio_PWM/lt1_c11 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
+ //.R_POSITION("X0Y0Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \Audio_PWM/lt1_2|Audio_PWM/lt1_1 (
+ .a(demodulated_signal_downsample[2:1]),
+ .b(\Audio_PWM/cnt [2:1]),
+ .fci(\Audio_PWM/lt1_c1 ),
+ .fco(\Audio_PWM/lt1_c3 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
+ //.R_POSITION("X0Y1Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \Audio_PWM/lt1_4|Audio_PWM/lt1_3 (
+ .a(demodulated_signal_downsample[4:3]),
+ .b(\Audio_PWM/cnt [4:3]),
+ .fci(\Audio_PWM/lt1_c3 ),
+ .fco(\Audio_PWM/lt1_c5 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
+ //.R_POSITION("X0Y1Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \Audio_PWM/lt1_6|Audio_PWM/lt1_5 (
+ .a(demodulated_signal_downsample[6:5]),
+ .b(\Audio_PWM/cnt [6:5]),
+ .fci(\Audio_PWM/lt1_c5 ),
+ .fco(\Audio_PWM/lt1_c7 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
+ //.R_POSITION("X0Y2Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \Audio_PWM/lt1_8|Audio_PWM/lt1_7 (
+ .a(demodulated_signal_downsample[8:7]),
+ .b(\Audio_PWM/cnt [8:7]),
+ .fci(\Audio_PWM/lt1_c7 ),
+ .fco(\Audio_PWM/lt1_c9 ));
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Audio_PWM/reg0_b0 (
+ .clk(clk_PWM1),
+ .d(\Audio_PWM/n3 [0]),
+ .sr(RSTn),
+ .q(\Audio_PWM/cnt [0])); // ../rtl/peripherals/Audio_PWM.v(21)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Audio_PWM/reg0_b1 (
+ .clk(clk_PWM1),
+ .d(\Audio_PWM/n3 [1]),
+ .sr(RSTn),
+ .q(\Audio_PWM/cnt [1])); // ../rtl/peripherals/Audio_PWM.v(21)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Audio_PWM/reg0_b10 (
+ .clk(clk_PWM1),
+ .d(\Audio_PWM/n3 [10]),
+ .sr(RSTn),
+ .q(\Audio_PWM/cnt [10])); // ../rtl/peripherals/Audio_PWM.v(21)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Audio_PWM/reg0_b11 (
+ .clk(clk_PWM1),
+ .d(\Audio_PWM/n3 [11]),
+ .sr(RSTn),
+ .q(\Audio_PWM/cnt [11])); // ../rtl/peripherals/Audio_PWM.v(21)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Audio_PWM/reg0_b2 (
+ .clk(clk_PWM1),
+ .d(\Audio_PWM/n3 [2]),
+ .sr(RSTn),
+ .q(\Audio_PWM/cnt [2])); // ../rtl/peripherals/Audio_PWM.v(21)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Audio_PWM/reg0_b3 (
+ .clk(clk_PWM1),
+ .d(\Audio_PWM/n3 [3]),
+ .sr(RSTn),
+ .q(\Audio_PWM/cnt [3])); // ../rtl/peripherals/Audio_PWM.v(21)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Audio_PWM/reg0_b4 (
+ .clk(clk_PWM1),
+ .d(\Audio_PWM/n3 [4]),
+ .sr(RSTn),
+ .q(\Audio_PWM/cnt [4])); // ../rtl/peripherals/Audio_PWM.v(21)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Audio_PWM/reg0_b5 (
+ .clk(clk_PWM1),
+ .d(\Audio_PWM/n3 [5]),
+ .sr(RSTn),
+ .q(\Audio_PWM/cnt [5])); // ../rtl/peripherals/Audio_PWM.v(21)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Audio_PWM/reg0_b6 (
+ .clk(clk_PWM1),
+ .d(\Audio_PWM/n3 [6]),
+ .sr(RSTn),
+ .q(\Audio_PWM/cnt [6])); // ../rtl/peripherals/Audio_PWM.v(21)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Audio_PWM/reg0_b7 (
+ .clk(clk_PWM1),
+ .d(\Audio_PWM/n3 [7]),
+ .sr(RSTn),
+ .q(\Audio_PWM/cnt [7])); // ../rtl/peripherals/Audio_PWM.v(21)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Audio_PWM/reg0_b8 (
+ .clk(clk_PWM1),
+ .d(\Audio_PWM/n3 [8]),
+ .sr(RSTn),
+ .q(\Audio_PWM/cnt [8])); // ../rtl/peripherals/Audio_PWM.v(21)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \Audio_PWM/reg0_b9 (
+ .clk(clk_PWM1),
+ .d(\Audio_PWM/n3 [9]),
+ .sr(RSTn),
+ .q(\Audio_PWM/cnt [9])); // ../rtl/peripherals/Audio_PWM.v(21)
+ FM_Demodulation FM_Demodulation (
+ .ADC_Data({ADC_Data[11:4],4'b0000}),
+ .Channel({1'b1,Channel[1],1'b0}),
+ .EOC(EOC),
+ .FM_HW_state({FM_HW_state[3:1],1'b0}),
+ .RSTn(RSTn),
+ .demod_en(adc_Power_down),
+ .clk_fm_demo_sampling(clk_fm_demo_sampling),
+ .demodulated_signal_sample(demodulated_signal_downsample)); // ../rtl/demodulation/FM_HW.v(147)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/Dump_done_reg (
+ .clk(clk),
+ .d(\FM_Dump_Data_IQ/n49 ),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/Dump_done )); // ../rtl/demodulation/FM_Dump_Data.v(91)
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/add0/ucin_al_u3996"),
+ //.R_POSITION("X0Y1Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/add0/u11_al_u3999 (
+ .a({open_n267,\FM_Dump_Data_IQ/dump_data_addr [11]}),
+ .b({open_n268,\FM_Dump_Data_IQ/dump_data_addr [12]}),
+ .c(2'b00),
+ .d({open_n271,1'b0}),
+ .e({open_n272,1'b0}),
+ .fci(\FM_Dump_Data_IQ/add0/c11 ),
+ .f({open_n287,\FM_Dump_Data_IQ/n39 [11]}),
+ .fx({open_n289,\FM_Dump_Data_IQ/n39 [12]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/add0/ucin_al_u3996"),
+ //.R_POSITION("X0Y0Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/add0/u3_al_u3997 (
+ .a({\FM_Dump_Data_IQ/dump_data_addr [5],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .b({\FM_Dump_Data_IQ/dump_data_addr [6],\FM_Dump_Data_IQ/dump_data_addr [4]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\FM_Dump_Data_IQ/add0/c3 ),
+ .f({\FM_Dump_Data_IQ/n39 [5],\FM_Dump_Data_IQ/n39 [3]}),
+ .fco(\FM_Dump_Data_IQ/add0/c7 ),
+ .fx({\FM_Dump_Data_IQ/n39 [6],\FM_Dump_Data_IQ/n39 [4]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/add0/ucin_al_u3996"),
+ //.R_POSITION("X0Y1Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/add0/u7_al_u3998 (
+ .a({\FM_Dump_Data_IQ/dump_data_addr [9],\FM_Dump_Data_IQ/dump_data_addr [7]}),
+ .b({\FM_Dump_Data_IQ/dump_data_addr [10],\FM_Dump_Data_IQ/dump_data_addr [8]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\FM_Dump_Data_IQ/add0/c7 ),
+ .f({\FM_Dump_Data_IQ/n39 [9],\FM_Dump_Data_IQ/n39 [7]}),
+ .fco(\FM_Dump_Data_IQ/add0/c11 ),
+ .fx({\FM_Dump_Data_IQ/n39 [10],\FM_Dump_Data_IQ/n39 [8]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/add0/ucin_al_u3996"),
+ //.R_POSITION("X0Y0Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'h000A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/add0/ucin_al_u3996 (
+ .a({\FM_Dump_Data_IQ/dump_data_addr [1],1'b0}),
+ .b({\FM_Dump_Data_IQ/dump_data_addr [2],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .c(2'b00),
+ .d(2'b01),
+ .e(2'b01),
+ .f({\FM_Dump_Data_IQ/n39 [1],open_n345}),
+ .fco(\FM_Dump_Data_IQ/add0/c3 ),
+ .fx({\FM_Dump_Data_IQ/n39 [2],\FM_Dump_Data_IQ/n39 [0]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n349,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n384,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n419,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n454,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n489,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n524,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n559,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n594,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n629,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n664,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n699,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n734,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n769,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n804,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n839,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n874,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n909,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n944,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n979,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1014,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1049,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1084,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1119,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1154,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1189,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1224,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1259,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1294,\FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1329,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1364,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1399,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1434,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1469,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1504,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1539,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1574,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1609,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1644,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1679,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1714,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1749,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1784,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1819,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1854,\FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1889,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1924,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1959,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n1994,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2029,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2064,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2099,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2134,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2169,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2204,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2239,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2274,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2309,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2344,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2379,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2414,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2449,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2484,\FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2519,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2554,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2589,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2624,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2659,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2694,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2729,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2764,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2799,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2834,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2869,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2904,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2939,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n2974,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3009,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3044,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3079,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3114,\FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3149,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3184,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3219,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3254,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3289,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3324,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3359,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3394,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3429,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3464,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3499,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3534,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3569,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3604,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3639,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3674,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3709,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3744,\FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3779,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3814,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3849,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3884,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3919,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3954,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n3989,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4024,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4059,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4094,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4129,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4164,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4199,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4234,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4269,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4304,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4339,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4374,\FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4409,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4444,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4479,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4514,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4549,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4584,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4619,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4654,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4689,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4724,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4759,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4794,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4829,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4864,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4899,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4934,\FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n4969,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5004,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5039,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5074,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5109,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5144,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5179,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5214,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5249,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5284,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5319,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5354,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5389,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5424,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5459,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5494,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5529,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5564,\FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5599,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5634,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5669,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5704,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5739,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5774,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5809,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5844,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5879,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5914,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5949,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n5984,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6019,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6054,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6089,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6124,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6159,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6194,\FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6229,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6264,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6299,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6334,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6369,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6404,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6439,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6474,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6509,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6544,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6579,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6614,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6649,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6684,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6719,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6754,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6789,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6824,\FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6859,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6894,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6929,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6964,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n6999,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7034,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7069,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7104,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7139,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7174,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7209,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7244,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7279,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7314,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7349,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7384,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7419,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7454,\FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7489,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7524,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7559,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7594,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7629,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7664,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7699,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7734,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7769,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7804,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7839,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7874,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7909,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7944,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n7979,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8014,\FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8049,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8084,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8119,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8154,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8189,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8224,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8259,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8294,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8329,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8364,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8399,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8434,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8469,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8504,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8539,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8574,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8609,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8644,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8679,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8714,\FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8749,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8784,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8819,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8854,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8889,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8924,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8959,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n8994,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9029,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9064,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9099,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9134,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9169,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9204,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9239,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9274,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9309,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9344,\FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9379,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9414,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9449,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9484,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9519,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9554,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9589,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9624,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9659,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9694,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9729,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9764,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9799,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9834,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9869,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9904,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9939,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n9974,\FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10009,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10044,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10079,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10114,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10149,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10184,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10219,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10254,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10289,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10324,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10359,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10394,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10429,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10464,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10499,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10534,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10569,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10604,\FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10639,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10674,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10709,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10744,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10779,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10814,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10849,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10884,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10919,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10954,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n10989,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11024,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11059,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11094,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11129,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11164,\FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11199,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11234,\FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11269,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11304,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11339,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11374,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11409,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11444,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11479,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11514,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11549,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11584,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11619,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11654,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11689,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11724,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11759,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11794,\FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11829,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11864,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11899,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11934,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n11969,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12004,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12039,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12074,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12109,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12144,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12179,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12214,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12249,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12284,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12319,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12354,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12389,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12424,\FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12459,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12494,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12529,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12564,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12599,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12634,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12669,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12704,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12739,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12774,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12809,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12844,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12879,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12914,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12949,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n12984,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13019,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13054,\FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13089,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13124,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13159,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13194,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13229,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13264,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13299,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13334,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13369,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13404,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13439,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13474,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13509,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13544,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13579,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13614,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13649,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13684,\FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13719,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13754,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13789,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13824,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13859,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13894,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13929,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13964,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n13999,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14034,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14069,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14104,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14139,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14174,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14209,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14244,\FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14279,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14314,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14349,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14384,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14419,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14454,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14489,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14524,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14559,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14594,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14629,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14664,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14699,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14734,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14769,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14804,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14839,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14874,\FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14909,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14944,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n14979,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15014,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15049,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15084,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15119,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15154,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15189,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15224,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15259,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15294,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15329,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15364,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15399,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15434,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15469,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15504,\FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15539,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15574,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15609,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15644,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15679,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15714,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15749,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15784,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15819,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15854,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15889,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15924,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15959,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n15994,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16029,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16064,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16099,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16134,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16169,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16204,\FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16239,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16274,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16309,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16344,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16379,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16414,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16449,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16484,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16519,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16554,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16589,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16624,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16659,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16694,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16729,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16764,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16799,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16834,\FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16869,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16904,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16939,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n16974,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17009,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17044,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17079,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17114,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17149,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17184,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17219,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17254,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17289,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17324,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17359,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17394,\FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17429,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17464,\FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17499,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17534,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17569,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17604,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17639,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17674,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17709,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17744,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17779,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17814,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17849,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17884,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17919,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17954,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n17989,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18024,\FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18059,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18094,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18129,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18164,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18199,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18234,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18269,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18304,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18339,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18374,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18409,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18444,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18479,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18514,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18549,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18584,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18619,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18654,\FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18689,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18724,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18759,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18794,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18829,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18864,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18899,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18934,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n18969,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19004,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19039,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19074,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19109,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19144,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19179,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19214,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19249,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19284,\FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19319,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19354,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19389,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19424,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19459,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19494,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19529,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19564,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19599,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19634,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19669,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19704,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19739,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19774,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19809,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19844,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19879,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19914,\FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19949,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n19984,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20019,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20054,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20089,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20124,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20159,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20194,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20229,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20264,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20299,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20334,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20369,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20404,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20439,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20474,\FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20509,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20544,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20579,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20614,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20649,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20684,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20719,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20754,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20789,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20824,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20859,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20894,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20929,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20964,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n20999,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21034,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21069,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21104,\FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21139,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21174,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21209,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21244,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21279,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21314,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21349,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21384,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21419,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21454,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21489,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21524,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21559,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21594,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21629,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21664,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21699,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21734,\FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21769,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21804,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21839,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21874,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21909,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21944,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n21979,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22014,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22049,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22084,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22119,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22154,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22189,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22224,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22259,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22294,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22329,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22364,\FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22399,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22434,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22469,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22504,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22539,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22574,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22609,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22644,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22679,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22714,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22749,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22784,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22819,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22854,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22889,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22924,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22959,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n22994,\FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23029,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23064,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23099,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23134,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23169,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23204,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23239,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23274,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23309,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23344,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23379,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23414,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23449,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23484,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23519,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23554,\FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23589,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23624,\FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23659,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23694,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23729,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23764,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23799,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23834,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23869,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23904,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23939,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n23974,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24009,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24044,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24079,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24114,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24149,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24184,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24219,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24254,\FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24289,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24324,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24359,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24394,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24429,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24464,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24499,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24534,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24569,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24604,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24639,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24674,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24709,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24744,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24779,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24814,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24849,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24884,\FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24919,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24954,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n24989,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25024,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25059,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25094,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25129,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25164,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25199,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25234,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25269,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25304,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25339,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25374,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25409,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25444,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25479,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25514,\FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25549,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25584,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25619,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25654,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25689,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25724,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25759,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25794,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25829,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25864,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25899,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25934,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n25969,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26004,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26039,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26074,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26109,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26144,\FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26179,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26214,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26249,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26284,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26319,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26354,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26389,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26424,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26459,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26494,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26529,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26564,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26599,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26634,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26669,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26704,\FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26739,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26774,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26809,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26844,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26879,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26914,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26949,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n26984,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27019,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27054,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27089,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27124,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27159,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27194,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27229,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27264,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27299,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27334,\FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27369,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27404,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27439,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27474,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27509,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27544,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27579,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27614,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27649,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27684,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27719,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27754,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27789,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27824,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27859,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27894,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27929,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27964,\FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n27999,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28034,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28069,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28104,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28139,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28174,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28209,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28244,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28279,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28314,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28349,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28384,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28419,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28454,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28489,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28524,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28559,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28594,\FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28629,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28664,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28699,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28734,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28769,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28804,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28839,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28874,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28909,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28944,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n28979,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29014,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29049,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29084,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29119,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29154,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29189,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29224,\FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29259,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29294,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29329,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29364,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29399,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29434,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29469,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29504,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29539,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29574,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29609,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29644,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29679,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29714,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29749,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29784,\FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29819,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29854,\FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29889,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29924,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29959,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n29994,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30029,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30064,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30099,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30134,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30169,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30204,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30239,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30274,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30309,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30344,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30379,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30414,\FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30449,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30484,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30519,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30554,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30589,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30624,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30659,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30694,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30729,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30764,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30799,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30834,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30869,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30904,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30939,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n30974,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31009,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31044,\FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31079,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31114,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31149,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31184,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31219,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31254,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31289,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31324,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31359,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31394,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31429,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31464,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31499,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31534,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31569,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31604,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31639,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31674,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31709,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31744,\FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31779,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31814,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31849,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31884,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31919,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31954,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n31989,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32024,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32059,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32094,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32129,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32164,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32199,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32234,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32269,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32304,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32339,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32374,\FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32409,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32444,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32479,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32514,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32549,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32584,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32619,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32654,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32689,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32724,\FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32759,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32794,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32829,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32864,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32899,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32934,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n32969,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33004,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33039,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33074,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33109,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33144,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33179,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33214,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33249,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33284,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33319,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33354,\FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33389,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33424,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33459,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33494,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33529,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33564,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33599,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33634,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33669,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33704,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33739,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33774,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33809,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33844,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33879,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33914,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33949,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n33984,\FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34019,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34054,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34089,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34124,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34159,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34194,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34229,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34264,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34299,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34334,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34369,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34404,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34439,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34474,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34509,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34544,\FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34579,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34614,\FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34649,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34684,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34719,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34754,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34789,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34824,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34859,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34894,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34929,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34964,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n34999,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35034,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35069,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35104,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35139,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35174,\FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35209,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35244,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35279,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35314,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35349,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35384,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35419,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35454,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35489,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35524,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35559,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35594,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35629,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35664,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35699,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35734,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35769,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35804,\FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35839,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35874,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35909,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35944,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n35979,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n36014,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_0 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n36049,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n36084,\FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_006 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_l (
+ .a({ADC_Data[4],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[5],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[6],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[7],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n36119,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_001 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_000 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_003 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_002 }));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m0"),
+ //.R_POSITION("X0Y0Z2"),
+ .MODE("RAMW"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_l (
+ .a({ADC_Data[8],\FM_Dump_Data_IQ/dump_data_addr [0]}),
+ .b({ADC_Data[9],\FM_Dump_Data_IQ/dump_data_addr [1]}),
+ .c({ADC_Data[10],\FM_Dump_Data_IQ/dump_data_addr [2]}),
+ .clk(EOC),
+ .d({ADC_Data[11],\FM_Dump_Data_IQ/dump_data_addr [3]}),
+ .e({open_n36154,\FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_1 }),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_di ),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_we ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m0"),
+ //.R_POSITION("X0Y0Z0"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m0 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_di [1:0]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_005 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_004 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m0"),
+ //.R_POSITION("X0Y0Z1"),
+ .MODE("DPRAM"))
+ \FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m1 (
+ .a({rdaddr[0],rdaddr[0]}),
+ .b({rdaddr[1],rdaddr[1]}),
+ .c({rdaddr[2],rdaddr[2]}),
+ .d({rdaddr[3],rdaddr[3]}),
+ .dpram_di(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_di [3:2]),
+ .dpram_mode(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_mode ),
+ .dpram_waddr(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_waddr ),
+ .dpram_wclk(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_wclk ),
+ .dpram_we(\FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_we ),
+ .f({\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_007 ,\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_006 }));
+ AL_MAP_SEQ #(
+ .CEMUX("INV"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/dump_done_en_reg (
+ .ce(\FM_Dump_Data_IQ/n38 ),
+ .clk(EOC),
+ .d(\FM_Dump_Data_IQ/n42 ),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_done_en )); // ../rtl/demodulation/FM_Dump_Data.v(62)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/dump_temp_reg (
+ .clk(clk),
+ .d(\FM_Dump_Data_IQ/n55 ),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_temp )); // ../rtl/demodulation/FM_Dump_Data.v(91)
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
+ //.R_POSITION("X0Y0Z0"),
+ .ALUTYPE("A_LE_B_CARRY"),
+ .INIT_LUT0(16'b0000000000001010),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin (
+ .a({\FM_Dump_Data_IQ/dump_data_addr [0],1'b0}),
+ .b({1'b1,open_n36189}),
+ .fco(\FM_Dump_Data_IQ/lt0_c1 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
+ //.R_POSITION("X0Y2Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt0_10|FM_Dump_Data_IQ/lt0_9 (
+ .a(\FM_Dump_Data_IQ/dump_data_addr [10:9]),
+ .b(2'b11),
+ .fci(\FM_Dump_Data_IQ/lt0_c9 ),
+ .fco(\FM_Dump_Data_IQ/lt0_c11 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
+ //.R_POSITION("X0Y3Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt0_12|FM_Dump_Data_IQ/lt0_11 (
+ .a(\FM_Dump_Data_IQ/dump_data_addr [12:11]),
+ .b(2'b11),
+ .fci(\FM_Dump_Data_IQ/lt0_c11 ),
+ .fco(\FM_Dump_Data_IQ/lt0_c13 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
+ //.R_POSITION("X0Y0Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt0_2|FM_Dump_Data_IQ/lt0_1 (
+ .a(\FM_Dump_Data_IQ/dump_data_addr [2:1]),
+ .b(2'b11),
+ .fci(\FM_Dump_Data_IQ/lt0_c1 ),
+ .fco(\FM_Dump_Data_IQ/lt0_c3 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
+ //.R_POSITION("X0Y1Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt0_4|FM_Dump_Data_IQ/lt0_3 (
+ .a(\FM_Dump_Data_IQ/dump_data_addr [4:3]),
+ .b(2'b11),
+ .fci(\FM_Dump_Data_IQ/lt0_c3 ),
+ .fco(\FM_Dump_Data_IQ/lt0_c5 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
+ //.R_POSITION("X0Y1Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt0_6|FM_Dump_Data_IQ/lt0_5 (
+ .a(\FM_Dump_Data_IQ/dump_data_addr [6:5]),
+ .b(2'b11),
+ .fci(\FM_Dump_Data_IQ/lt0_c5 ),
+ .fco(\FM_Dump_Data_IQ/lt0_c7 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
+ //.R_POSITION("X0Y2Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt0_8|FM_Dump_Data_IQ/lt0_7 (
+ .a(\FM_Dump_Data_IQ/dump_data_addr [8:7]),
+ .b(2'b11),
+ .fci(\FM_Dump_Data_IQ/lt0_c7 ),
+ .fco(\FM_Dump_Data_IQ/lt0_c9 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
+ //.R_POSITION("X0Y3Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt0_cout_al_u4008 (
+ .a({open_n36359,1'b0}),
+ .b({open_n36360,1'b1}),
+ .fci(\FM_Dump_Data_IQ/lt0_c13 ),
+ .f({open_n36379,\FM_Dump_Data_IQ/n34 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
+ //.R_POSITION("X0Y0Z0"),
+ .ALUTYPE("A_LE_B_CARRY"),
+ .INIT_LUT0(16'b0000000000001010),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin (
+ .a(2'b01),
+ .b({rdaddr[0],open_n36385}),
+ .fco(\FM_Dump_Data_IQ/lt1_c1 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
+ //.R_POSITION("X0Y2Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt1_10|FM_Dump_Data_IQ/lt1_9 (
+ .a(2'b00),
+ .b(rdaddr[10:9]),
+ .fci(\FM_Dump_Data_IQ/lt1_c9 ),
+ .fco(\FM_Dump_Data_IQ/lt1_c11 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
+ //.R_POSITION("X0Y3Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt1_12|FM_Dump_Data_IQ/lt1_11 (
+ .a(2'b00),
+ .b(rdaddr[12:11]),
+ .fci(\FM_Dump_Data_IQ/lt1_c11 ),
+ .fco(\FM_Dump_Data_IQ/lt1_c13 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
+ //.R_POSITION("X0Y0Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt1_2|FM_Dump_Data_IQ/lt1_1 (
+ .a(2'b00),
+ .b(rdaddr[2:1]),
+ .fci(\FM_Dump_Data_IQ/lt1_c1 ),
+ .fco(\FM_Dump_Data_IQ/lt1_c3 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
+ //.R_POSITION("X0Y1Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt1_4|FM_Dump_Data_IQ/lt1_3 (
+ .a(2'b00),
+ .b(rdaddr[4:3]),
+ .fci(\FM_Dump_Data_IQ/lt1_c3 ),
+ .fco(\FM_Dump_Data_IQ/lt1_c5 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
+ //.R_POSITION("X0Y1Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt1_6|FM_Dump_Data_IQ/lt1_5 (
+ .a(2'b00),
+ .b(rdaddr[6:5]),
+ .fci(\FM_Dump_Data_IQ/lt1_c5 ),
+ .fco(\FM_Dump_Data_IQ/lt1_c7 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
+ //.R_POSITION("X0Y2Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt1_8|FM_Dump_Data_IQ/lt1_7 (
+ .a(2'b10),
+ .b(rdaddr[8:7]),
+ .fci(\FM_Dump_Data_IQ/lt1_c7 ),
+ .fco(\FM_Dump_Data_IQ/lt1_c9 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
+ //.R_POSITION("X0Y3Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_Dump_Data_IQ/lt1_cout_al_u4009 (
+ .a({open_n36555,1'b0}),
+ .b({open_n36556,1'b1}),
+ .fci(\FM_Dump_Data_IQ/lt1_c13 ),
+ .f({open_n36575,\FM_Dump_Data_IQ/n58 }));
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_Dump_Data_IQ/reg0_b0 (
+ .ce(\FM_Dump_Data_IQ/n61 ),
+ .clk(clk),
+ .d(\FM_Dump_Data_IQ/n62 [0]),
+ .q(rd_DUMP[0])); // ../rtl/demodulation/FM_Dump_Data.v(153)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_Dump_Data_IQ/reg0_b1 (
+ .ce(\FM_Dump_Data_IQ/n61 ),
+ .clk(clk),
+ .d(\FM_Dump_Data_IQ/n62 [1]),
+ .q(rd_DUMP[1])); // ../rtl/demodulation/FM_Dump_Data.v(153)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_Dump_Data_IQ/reg0_b2 (
+ .ce(\FM_Dump_Data_IQ/n61 ),
+ .clk(clk),
+ .d(\FM_Dump_Data_IQ/n62 [2]),
+ .q(rd_DUMP[2])); // ../rtl/demodulation/FM_Dump_Data.v(153)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_Dump_Data_IQ/reg0_b3 (
+ .ce(\FM_Dump_Data_IQ/n61 ),
+ .clk(clk),
+ .d(\FM_Dump_Data_IQ/n62 [3]),
+ .q(rd_DUMP[3])); // ../rtl/demodulation/FM_Dump_Data.v(153)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_Dump_Data_IQ/reg0_b4 (
+ .ce(\FM_Dump_Data_IQ/n61 ),
+ .clk(clk),
+ .d(\FM_Dump_Data_IQ/n62 [4]),
+ .q(rd_DUMP[4])); // ../rtl/demodulation/FM_Dump_Data.v(153)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_Dump_Data_IQ/reg0_b5 (
+ .ce(\FM_Dump_Data_IQ/n61 ),
+ .clk(clk),
+ .d(\FM_Dump_Data_IQ/n62 [5]),
+ .q(rd_DUMP[5])); // ../rtl/demodulation/FM_Dump_Data.v(153)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_Dump_Data_IQ/reg0_b6 (
+ .ce(\FM_Dump_Data_IQ/n61 ),
+ .clk(clk),
+ .d(\FM_Dump_Data_IQ/n62 [6]),
+ .q(rd_DUMP[6])); // ../rtl/demodulation/FM_Dump_Data.v(153)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_Dump_Data_IQ/reg0_b7 (
+ .ce(\FM_Dump_Data_IQ/n61 ),
+ .clk(clk),
+ .d(\FM_Dump_Data_IQ/n62 [7]),
+ .q(rd_DUMP[7])); // ../rtl/demodulation/FM_Dump_Data.v(153)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg1_b0 (
+ .ce(\FM_Dump_Data_IQ/and_n5_n3_n0_o ),
+ .clk(clk),
+ .d(\FM_Dump_Data_IQ/n32 [0]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/Data_dump_state [0])); // ../rtl/demodulation/FM_Dump_Data.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg1_b1 (
+ .ce(\FM_Dump_Data_IQ/and_n5_n3_n0_o ),
+ .clk(clk),
+ .d(\FM_Dump_Data_IQ/n32 [1]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/Data_dump_state [1])); // ../rtl/demodulation/FM_Dump_Data.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg1_b2 (
+ .ce(\FM_Dump_Data_IQ/and_n5_n3_n0_o ),
+ .clk(clk),
+ .d(\FM_Dump_Data_IQ/n32 [2]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/Data_dump_state [2])); // ../rtl/demodulation/FM_Dump_Data.v(30)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg2_b0 (
+ .clk(EOC),
+ .d(\FM_Dump_Data_IQ/n46 [0]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_data_addr [0])); // ../rtl/demodulation/FM_Dump_Data.v(62)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg2_b1 (
+ .clk(EOC),
+ .d(\FM_Dump_Data_IQ/n46 [1]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_data_addr [1])); // ../rtl/demodulation/FM_Dump_Data.v(62)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg2_b10 (
+ .clk(EOC),
+ .d(\FM_Dump_Data_IQ/n46 [10]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_data_addr [10])); // ../rtl/demodulation/FM_Dump_Data.v(62)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg2_b11 (
+ .clk(EOC),
+ .d(\FM_Dump_Data_IQ/n46 [11]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_data_addr [11])); // ../rtl/demodulation/FM_Dump_Data.v(62)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg2_b12 (
+ .clk(EOC),
+ .d(\FM_Dump_Data_IQ/n46 [12]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_data_addr [12])); // ../rtl/demodulation/FM_Dump_Data.v(62)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg2_b2 (
+ .clk(EOC),
+ .d(\FM_Dump_Data_IQ/n46 [2]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_data_addr [2])); // ../rtl/demodulation/FM_Dump_Data.v(62)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg2_b3 (
+ .clk(EOC),
+ .d(\FM_Dump_Data_IQ/n46 [3]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_data_addr [3])); // ../rtl/demodulation/FM_Dump_Data.v(62)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg2_b4 (
+ .clk(EOC),
+ .d(\FM_Dump_Data_IQ/n46 [4]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_data_addr [4])); // ../rtl/demodulation/FM_Dump_Data.v(62)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg2_b5 (
+ .clk(EOC),
+ .d(\FM_Dump_Data_IQ/n46 [5]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_data_addr [5])); // ../rtl/demodulation/FM_Dump_Data.v(62)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg2_b6 (
+ .clk(EOC),
+ .d(\FM_Dump_Data_IQ/n46 [6]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_data_addr [6])); // ../rtl/demodulation/FM_Dump_Data.v(62)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg2_b7 (
+ .clk(EOC),
+ .d(\FM_Dump_Data_IQ/n46 [7]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_data_addr [7])); // ../rtl/demodulation/FM_Dump_Data.v(62)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("SET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg2_b8 (
+ .clk(EOC),
+ .d(\FM_Dump_Data_IQ/n46 [8]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_data_addr [8])); // ../rtl/demodulation/FM_Dump_Data.v(62)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_Dump_Data_IQ/reg2_b9 (
+ .clk(EOC),
+ .d(\FM_Dump_Data_IQ/n46 [9]),
+ .sr(RSTn),
+ .q(\FM_Dump_Data_IQ/dump_data_addr [9])); // ../rtl/demodulation/FM_Dump_Data.v(62)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/EOC_Count_Demodulate_reg (
+ .clk(EOC),
+ .d(\FM_RSSI_SCAN/n11 ),
+ .q(\FM_RSSI_SCAN/EOC_Count_Demodulate )); // ../rtl/demodulation/FM_RSSI.v(53)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/RSSI_reg_1_reg (
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/done_signal ),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_reg_1 )); // ../rtl/demodulation/FM_RSSI.v(98)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("SYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/RSSI_reg_2_reg (
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_reg_1 ),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_reg_2 )); // ../rtl/demodulation/FM_RSSI.v(98)
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_RSSI_SCAN/add2/ucin_al_u4000"),
+ //.R_POSITION("X0Y1Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/add2/u11_al_u4003 (
+ .a({open_n36606,\FM_RSSI_SCAN/counter [11]}),
+ .b({open_n36607,\FM_RSSI_SCAN/counter [12]}),
+ .c(2'b00),
+ .d({open_n36610,1'b0}),
+ .e({open_n36611,1'b0}),
+ .fci(\FM_RSSI_SCAN/add2/c11 ),
+ .f({open_n36626,\FM_RSSI_SCAN/n16 [11]}),
+ .fx({open_n36628,\FM_RSSI_SCAN/n16 [12]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_RSSI_SCAN/add2/ucin_al_u4000"),
+ //.R_POSITION("X0Y0Z1"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/add2/u3_al_u4001 (
+ .a({\FM_RSSI_SCAN/counter [5],\FM_RSSI_SCAN/counter [3]}),
+ .b({\FM_RSSI_SCAN/counter [6],\FM_RSSI_SCAN/counter [4]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\FM_RSSI_SCAN/add2/c3 ),
+ .f({\FM_RSSI_SCAN/n16 [5],\FM_RSSI_SCAN/n16 [3]}),
+ .fco(\FM_RSSI_SCAN/add2/c7 ),
+ .fx({\FM_RSSI_SCAN/n16 [6],\FM_RSSI_SCAN/n16 [4]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_RSSI_SCAN/add2/ucin_al_u4000"),
+ //.R_POSITION("X0Y1Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'hA55A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/add2/u7_al_u4002 (
+ .a({\FM_RSSI_SCAN/counter [9],\FM_RSSI_SCAN/counter [7]}),
+ .b({\FM_RSSI_SCAN/counter [10],\FM_RSSI_SCAN/counter [8]}),
+ .c(2'b00),
+ .d(2'b00),
+ .e(2'b00),
+ .fci(\FM_RSSI_SCAN/add2/c7 ),
+ .f({\FM_RSSI_SCAN/n16 [9],\FM_RSSI_SCAN/n16 [7]}),
+ .fco(\FM_RSSI_SCAN/add2/c11 ),
+ .fx({\FM_RSSI_SCAN/n16 [10],\FM_RSSI_SCAN/n16 [8]}));
+ EG_PHY_LSLICE #(
+ //.MACRO("FM_RSSI_SCAN/add2/ucin_al_u4000"),
+ //.R_POSITION("X0Y0Z0"),
+ .DEMUX0("E"),
+ .DEMUX1("E"),
+ .INIT_LUTF0(16'h000A),
+ .INIT_LUTF1(16'hA55A),
+ .INIT_LUTG0(16'hC33C),
+ .INIT_LUTG1(16'hC33C),
+ .LSFMUX0("SUM"),
+ .LSFMUX1("SUM"),
+ .LSFXMUX0("SUM"),
+ .LSFXMUX1("SUM"),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/add2/ucin_al_u4000 (
+ .a({\FM_RSSI_SCAN/counter [1],1'b0}),
+ .b({\FM_RSSI_SCAN/counter [2],\FM_RSSI_SCAN/counter [0]}),
+ .c(2'b00),
+ .d(2'b01),
+ .e(2'b01),
+ .f({\FM_RSSI_SCAN/n16 [1],open_n36684}),
+ .fco(\FM_RSSI_SCAN/add2/c3 ),
+ .fx({\FM_RSSI_SCAN/n16 [2],\FM_RSSI_SCAN/n16 [0]}));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
+ //.R_POSITION("X0Y0Z0"),
+ .ALUTYPE("A_LE_B_CARRY"),
+ .INIT_LUT0(16'b0000000000001010),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin (
+ .a({\FM_RSSI_SCAN/counter [0],1'b0}),
+ .b({1'b1,open_n36687}),
+ .fco(\FM_RSSI_SCAN/lt0_c1 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
+ //.R_POSITION("X0Y2Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/lt0_10|FM_RSSI_SCAN/lt0_9 (
+ .a(\FM_RSSI_SCAN/counter [10:9]),
+ .b(2'b00),
+ .fci(\FM_RSSI_SCAN/lt0_c9 ),
+ .fco(\FM_RSSI_SCAN/lt0_c11 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
+ //.R_POSITION("X0Y3Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/lt0_12|FM_RSSI_SCAN/lt0_11 (
+ .a(\FM_RSSI_SCAN/counter [12:11]),
+ .b(2'b10),
+ .fci(\FM_RSSI_SCAN/lt0_c11 ),
+ .fco(\FM_RSSI_SCAN/lt0_c13 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
+ //.R_POSITION("X0Y0Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/lt0_2|FM_RSSI_SCAN/lt0_1 (
+ .a(\FM_RSSI_SCAN/counter [2:1]),
+ .b(2'b00),
+ .fci(\FM_RSSI_SCAN/lt0_c1 ),
+ .fco(\FM_RSSI_SCAN/lt0_c3 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
+ //.R_POSITION("X0Y1Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/lt0_4|FM_RSSI_SCAN/lt0_3 (
+ .a(\FM_RSSI_SCAN/counter [4:3]),
+ .b(2'b00),
+ .fci(\FM_RSSI_SCAN/lt0_c3 ),
+ .fco(\FM_RSSI_SCAN/lt0_c5 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
+ //.R_POSITION("X0Y1Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/lt0_6|FM_RSSI_SCAN/lt0_5 (
+ .a(\FM_RSSI_SCAN/counter [6:5]),
+ .b(2'b00),
+ .fci(\FM_RSSI_SCAN/lt0_c5 ),
+ .fco(\FM_RSSI_SCAN/lt0_c7 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
+ //.R_POSITION("X0Y2Z0"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/lt0_8|FM_RSSI_SCAN/lt0_7 (
+ .a(\FM_RSSI_SCAN/counter [8:7]),
+ .b(2'b00),
+ .fci(\FM_RSSI_SCAN/lt0_c7 ),
+ .fco(\FM_RSSI_SCAN/lt0_c9 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
+ //.R_POSITION("X0Y3Z1"),
+ .ALUTYPE("A_LE_B"),
+ .INIT_LUT0(16'b1001100110011100),
+ .INIT_LUT1(16'b1001100110011100),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/lt0_cout_al_u4010 (
+ .a({open_n36857,1'b0}),
+ .b({open_n36858,1'b1}),
+ .fci(\FM_RSSI_SCAN/lt0_c13 ),
+ .f({open_n36877,\FM_RSSI_SCAN/n14 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
+ //.R_POSITION("X0Y0Z0"),
+ .ALUTYPE("SUB_CARRY"),
+ .INIT_LUT0(16'b0000000000000101),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin (
+ .a(2'b00),
+ .b({\FM_RSSI_SCAN/IdataN [0],open_n36883}),
+ .f({\FM_RSSI_SCAN/multlII/n1 [0],open_n36903}),
+ .fco(\FM_RSSI_SCAN/multlII/add0/c1 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
+ //.R_POSITION("X0Y0Z1"),
+ .ALUTYPE("SUB"),
+ .INIT_LUT0(16'b1001100110011010),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/multlII/add0/u2|FM_RSSI_SCAN/multlII/add0/u1 (
+ .a(2'b00),
+ .b(\FM_RSSI_SCAN/IdataN [2:1]),
+ .fci(\FM_RSSI_SCAN/multlII/add0/c1 ),
+ .f(\FM_RSSI_SCAN/multlII/n1 [2:1]),
+ .fco(\FM_RSSI_SCAN/multlII/add0/c3 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
+ //.R_POSITION("X0Y1Z0"),
+ .ALUTYPE("SUB"),
+ .INIT_LUT0(16'b1001100110011010),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/multlII/add0/u4|FM_RSSI_SCAN/multlII/add0/u3 (
+ .a(2'b00),
+ .b(\FM_RSSI_SCAN/IdataN [4:3]),
+ .fci(\FM_RSSI_SCAN/multlII/add0/c3 ),
+ .f(\FM_RSSI_SCAN/multlII/n1 [4:3]),
+ .fco(\FM_RSSI_SCAN/multlII/add0/c5 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
+ //.R_POSITION("X0Y1Z1"),
+ .ALUTYPE("SUB"),
+ .INIT_LUT0(16'b1001100110011010),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/multlII/add0/u6|FM_RSSI_SCAN/multlII/add0/u5 (
+ .a(2'b00),
+ .b(\FM_RSSI_SCAN/IdataN [6:5]),
+ .fci(\FM_RSSI_SCAN/multlII/add0/c5 ),
+ .f(\FM_RSSI_SCAN/multlII/n1 [6:5]),
+ .fco(\FM_RSSI_SCAN/multlII/add0/c7 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
+ //.R_POSITION("X0Y2Z0"),
+ .ALUTYPE("SUB"),
+ .INIT_LUT0(16'b1001100110011010),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/multlII/add0/u7_al_u4011 (
+ .a({open_n36974,1'b0}),
+ .b({open_n36975,\FM_RSSI_SCAN/IdataN [7]}),
+ .fci(\FM_RSSI_SCAN/multlII/add0/c7 ),
+ .f({open_n36994,\FM_RSSI_SCAN/multlII/n1 [7]}));
+ EG_PHY_MULT18 #(
+ .INPUTREGA("DISABLE"),
+ .INPUTREGB("DISABLE"),
+ .MODE("MULT9X9C"),
+ .OUTPUTREG("DISABLE"),
+ .SIGNEDAMUX("1"),
+ .SIGNEDBMUX("1"))
+ \FM_RSSI_SCAN/multlII/mult0_FM_RSSI_SCAN/multlQQ/mult0_ (
+ .a({1'b0,\FM_RSSI_SCAN/multlQQ/n2 ,1'b0,\FM_RSSI_SCAN/multlII/n2 }),
+ .b({1'b0,\FM_RSSI_SCAN/multlQQ/n2 ,1'b0,\FM_RSSI_SCAN/multlII/n2 }),
+ .p({open_n37083,open_n37084,\FM_RSSI_SCAN/multlQQ/n6 ,open_n37085,open_n37086,\FM_RSSI_SCAN/multlII/n6 }));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
+ //.R_POSITION("X0Y0Z0"),
+ .ALUTYPE("SUB_CARRY"),
+ .INIT_LUT0(16'b0000000000000101),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin (
+ .a(2'b00),
+ .b({\FM_RSSI_SCAN/QdataN [0],open_n37087}),
+ .f({\FM_RSSI_SCAN/multlQQ/n1 [0],open_n37107}),
+ .fco(\FM_RSSI_SCAN/multlQQ/add0/c1 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
+ //.R_POSITION("X0Y0Z1"),
+ .ALUTYPE("SUB"),
+ .INIT_LUT0(16'b1001100110011010),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/multlQQ/add0/u2|FM_RSSI_SCAN/multlQQ/add0/u1 (
+ .a(2'b00),
+ .b(\FM_RSSI_SCAN/QdataN [2:1]),
+ .fci(\FM_RSSI_SCAN/multlQQ/add0/c1 ),
+ .f(\FM_RSSI_SCAN/multlQQ/n1 [2:1]),
+ .fco(\FM_RSSI_SCAN/multlQQ/add0/c3 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
+ //.R_POSITION("X0Y1Z0"),
+ .ALUTYPE("SUB"),
+ .INIT_LUT0(16'b1001100110011010),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/multlQQ/add0/u4|FM_RSSI_SCAN/multlQQ/add0/u3 (
+ .a(2'b00),
+ .b(\FM_RSSI_SCAN/QdataN [4:3]),
+ .fci(\FM_RSSI_SCAN/multlQQ/add0/c3 ),
+ .f(\FM_RSSI_SCAN/multlQQ/n1 [4:3]),
+ .fco(\FM_RSSI_SCAN/multlQQ/add0/c5 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
+ //.R_POSITION("X0Y1Z1"),
+ .ALUTYPE("SUB"),
+ .INIT_LUT0(16'b1001100110011010),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/multlQQ/add0/u6|FM_RSSI_SCAN/multlQQ/add0/u5 (
+ .a(2'b00),
+ .b(\FM_RSSI_SCAN/QdataN [6:5]),
+ .fci(\FM_RSSI_SCAN/multlQQ/add0/c5 ),
+ .f(\FM_RSSI_SCAN/multlQQ/n1 [6:5]),
+ .fco(\FM_RSSI_SCAN/multlQQ/add0/c7 ));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
+ //.R_POSITION("X0Y2Z0"),
+ .ALUTYPE("SUB"),
+ .INIT_LUT0(16'b1001100110011010),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"))
+ \FM_RSSI_SCAN/multlQQ/add0/u7_al_u4012 (
+ .a({open_n37178,1'b0}),
+ .b({open_n37179,\FM_RSSI_SCAN/QdataN [7]}),
+ .fci(\FM_RSSI_SCAN/multlQQ/add0/c7 ),
+ .f({open_n37198,\FM_RSSI_SCAN/multlQQ/n1 [7]}));
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg0_b0 (
+ .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
+ .clk(EOC),
+ .d(\FM_RSSI_SCAN/n2 [0]),
+ .q(\FM_RSSI_SCAN/QdataN [0])); // ../rtl/demodulation/FM_RSSI.v(24)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg0_b1 (
+ .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
+ .clk(EOC),
+ .d(\FM_RSSI_SCAN/n2 [1]),
+ .q(\FM_RSSI_SCAN/QdataN [1])); // ../rtl/demodulation/FM_RSSI.v(24)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg0_b2 (
+ .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
+ .clk(EOC),
+ .d(\FM_RSSI_SCAN/n2 [2]),
+ .q(\FM_RSSI_SCAN/QdataN [2])); // ../rtl/demodulation/FM_RSSI.v(24)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg0_b3 (
+ .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
+ .clk(EOC),
+ .d(\FM_RSSI_SCAN/n2 [3]),
+ .q(\FM_RSSI_SCAN/QdataN [3])); // ../rtl/demodulation/FM_RSSI.v(24)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg0_b4 (
+ .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
+ .clk(EOC),
+ .d(\FM_RSSI_SCAN/n2 [4]),
+ .q(\FM_RSSI_SCAN/QdataN [4])); // ../rtl/demodulation/FM_RSSI.v(24)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg0_b5 (
+ .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
+ .clk(EOC),
+ .d(\FM_RSSI_SCAN/n2 [5]),
+ .q(\FM_RSSI_SCAN/QdataN [5])); // ../rtl/demodulation/FM_RSSI.v(24)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg0_b6 (
+ .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
+ .clk(EOC),
+ .d(\FM_RSSI_SCAN/n2 [6]),
+ .q(\FM_RSSI_SCAN/QdataN [6])); // ../rtl/demodulation/FM_RSSI.v(24)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg0_b7 (
+ .ce(\FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
+ .clk(EOC),
+ .d(\FM_RSSI_SCAN/n2 [7]),
+ .q(\FM_RSSI_SCAN/QdataN [7])); // ../rtl/demodulation/FM_RSSI.v(24)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b0 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [0]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [0])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b1 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [1]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [1])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b10 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [10]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [10])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b11 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [11]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [11])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b12 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [12]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [12])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b13 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [13]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [13])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b14 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [14]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [14])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b15 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [15]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [15])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b16 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [16]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [16])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b17 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [17]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [17])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b18 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [18]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [18])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b19 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [19]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [19])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b2 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [2]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [2])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b20 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [20]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [20])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b21 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [21]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [21])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b22 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [22]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [22])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b23 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [23]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [23])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b24 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [24]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [24])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b25 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [25]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [25])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b26 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [26]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [26])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b3 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [3]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [3])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b4 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [4]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [4])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b5 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [5]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [5])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b6 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [6]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [6])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b7 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [7]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [7])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b8 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [8]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [8])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg1_b9 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n19 [9]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/RSSI_SUM [9])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg2_b0 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n20 [0]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/counter [0])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg2_b1 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n20 [1]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/counter [1])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg2_b10 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n20 [10]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/counter [10])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg2_b11 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n20 [11]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/counter [11])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg2_b12 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n20 [12]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/counter [12])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg2_b2 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n20 [2]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/counter [2])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg2_b3 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n20 [3]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/counter [3])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg2_b4 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n20 [4]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/counter [4])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg2_b5 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n20 [5]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/counter [5])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg2_b6 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n20 [6]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/counter [6])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg2_b7 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n20 [7]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/counter [7])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg2_b8 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n20 [8]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/counter [8])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("1"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("INV"))
+ \FM_RSSI_SCAN/reg2_b9 (
+ .clk(\FM_RSSI_SCAN/EOC_Count_Demodulate ),
+ .d(\FM_RSSI_SCAN/n20 [9]),
+ .sr(RSTn),
+ .q(\FM_RSSI_SCAN/counter [9])); // ../rtl/demodulation/FM_RSSI.v(81)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b0 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [10]),
+ .q(rd_SCAN[0])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b1 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [11]),
+ .q(rd_SCAN[1])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b10 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [20]),
+ .q(rd_SCAN[10])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b11 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [21]),
+ .q(rd_SCAN[11])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b12 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [22]),
+ .q(rd_SCAN[12])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b13 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [23]),
+ .q(rd_SCAN[13])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b14 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [24]),
+ .q(rd_SCAN[14])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b15 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [25]),
+ .q(rd_SCAN[15])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b16 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [26]),
+ .q(rd_SCAN[16])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b2 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [12]),
+ .q(rd_SCAN[2])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b3 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [13]),
+ .q(rd_SCAN[3])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b4 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [14]),
+ .q(rd_SCAN[4])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b5 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [15]),
+ .q(rd_SCAN[5])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b6 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [16]),
+ .q(rd_SCAN[6])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b7 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [17]),
+ .q(rd_SCAN[7])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b8 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [18]),
+ .q(rd_SCAN[8])); // ../rtl/demodulation/FM_RSSI.v(113)
+ AL_MAP_SEQ #(
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .REGSET("RESET"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg3_b9 (
+ .ce(\FM_RSSI_SCAN/n25 ),
+ .clk(clk),
+ .d(\FM_RSSI_SCAN/RSSI_SUM [19]),
+ .q(rd_SCAN[9])); // ../rtl/demodulation/FM_RSSI.v(113)
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
+ //.R_POSITION("X0Y0Z0"),
+ .ALUTYPE("SUB_CARRY"),
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .INIT_LUT0(16'b0000000000000101),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"),
+ .REG1_REGSET("RESET"),
+ .REG1_SD("F"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin (
+ .a({ADC_Data[4],1'b0}),
+ .b({1'b1,open_n37269}),
+ .ce(\FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
+ .clk(EOC),
+ .f({\FM_RSSI_SCAN/n2 [0],open_n37287}),
+ .fco(\FM_RSSI_SCAN/sub0/c1 ),
+ .q({\FM_RSSI_SCAN/IdataN [0],open_n37290}));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
+ //.R_POSITION("X0Y0Z1"),
+ .ALUTYPE("SUB"),
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .INIT_LUT0(16'b1001100110011010),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"),
+ .REG0_REGSET("RESET"),
+ .REG0_SD("F"),
+ .REG1_REGSET("RESET"),
+ .REG1_SD("F"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg4_b2|FM_RSSI_SCAN/reg4_b1 (
+ .a(ADC_Data[6:5]),
+ .b(2'b11),
+ .ce(\FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
+ .clk(EOC),
+ .fci(\FM_RSSI_SCAN/sub0/c1 ),
+ .f(\FM_RSSI_SCAN/n2 [2:1]),
+ .fco(\FM_RSSI_SCAN/sub0/c3 ),
+ .q(\FM_RSSI_SCAN/IdataN [2:1]));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
+ //.R_POSITION("X0Y1Z0"),
+ .ALUTYPE("SUB"),
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .INIT_LUT0(16'b1001100110011010),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"),
+ .REG0_REGSET("RESET"),
+ .REG0_SD("F"),
+ .REG1_REGSET("RESET"),
+ .REG1_SD("F"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg4_b4|FM_RSSI_SCAN/reg4_b3 (
+ .a(ADC_Data[8:7]),
+ .b(2'b11),
+ .ce(\FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
+ .clk(EOC),
+ .fci(\FM_RSSI_SCAN/sub0/c3 ),
+ .f(\FM_RSSI_SCAN/n2 [4:3]),
+ .fco(\FM_RSSI_SCAN/sub0/c5 ),
+ .q(\FM_RSSI_SCAN/IdataN [4:3]));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
+ //.R_POSITION("X0Y1Z1"),
+ .ALUTYPE("SUB"),
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .INIT_LUT0(16'b1001100110011010),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"),
+ .REG0_REGSET("RESET"),
+ .REG0_SD("F"),
+ .REG1_REGSET("RESET"),
+ .REG1_SD("F"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg4_b6|FM_RSSI_SCAN/reg4_b5 (
+ .a(ADC_Data[10:9]),
+ .b(2'b11),
+ .ce(\FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
+ .clk(EOC),
+ .fci(\FM_RSSI_SCAN/sub0/c5 ),
+ .f(\FM_RSSI_SCAN/n2 [6:5]),
+ .fco(\FM_RSSI_SCAN/sub0/c7 ),
+ .q(\FM_RSSI_SCAN/IdataN [6:5]));
+ EG_PHY_MSLICE #(
+ //.MACRO("FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
+ //.R_POSITION("X0Y2Z0"),
+ .ALUTYPE("SUB"),
+ .CEMUX("CE"),
+ .CLKMUX("CLK"),
+ .DFFMODE("FF"),
+ .INIT_LUT0(16'b1001100110011010),
+ .INIT_LUT1(16'b1001100110011010),
+ .MODE("RIPPLE"),
+ .REG0_REGSET("RESET"),
+ .REG0_SD("F"),
+ .SRMODE("ASYNC"),
+ .SRMUX("0"))
+ \FM_RSSI_SCAN/reg4_b7_al_u4013 (
+ .a({open_n37345,ADC_Data[11]}),
+ .b({open_n37346,1'b0}),
+ .ce(\FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
+ .clk(EOC),
+ .fci(\FM_RSSI_SCAN/sub0/c7 ),
+ .f({open_n37363,\FM_RSSI_SCAN/n2 [7]}),
+ .q({open_n37367,\FM_RSSI_SCAN/IdataN [7]}));
+ EG_PHY_GCLK \U1/bufg_feedback (
+ .clki(\U1/clk0_buf ),
+ .clko(CW_CLK)); // al_ip/PLL_Demodulation.v(45)
+ EG_PHY_PLL #(
+ .CLKC0_CPHASE(3),
+ .CLKC0_DIV(4),
+ .CLKC0_DIV2_ENABLE("DISABLE"),
+ .CLKC0_ENABLE("ENABLE"),
+ .CLKC0_FPHASE(0),
+ .CLKC1_CPHASE(124),
+ .CLKC1_DIV(125),
+ .CLKC1_DIV2_ENABLE("DISABLE"),
+ .CLKC1_ENABLE("ENABLE"),
+ .CLKC1_FPHASE(0),
+ .CLKC2_CPHASE(39),
+ .CLKC2_DIV(40),
+ .CLKC2_DIV2_ENABLE("DISABLE"),
+ .CLKC2_ENABLE("ENABLE"),
+ .CLKC2_FPHASE(0),
+ .CLKC3_CPHASE(1),
+ .CLKC3_DIV(1),
+ .CLKC3_DIV2_ENABLE("DISABLE"),
+ .CLKC3_ENABLE("DISABLE"),
+ .CLKC3_FPHASE(0),
+ .CLKC4_CPHASE(19),
+ .CLKC4_DIV(20),
+ .CLKC4_DIV2_ENABLE("DISABLE"),
+ .CLKC4_ENABLE("ENABLE"),
+ .CLKC4_FPHASE(0),
+ .DERIVE_PLL_CLOCKS("DISABLE"),
+ .DPHASE_SOURCE("DISABLE"),
+ .DYNCFG("DISABLE"),
+ .FBCLK_DIV(4),
+ .FEEDBK_MODE("NORMAL"),
+ .FEEDBK_PATH("CLKC0_EXT"),
+ .FIN("50.000"),
+ .FREQ_LOCK_ACCURACY(2),
+ .GEN_BASIC_CLOCK("DISABLE"),
+ .GMC_GAIN(4),
+ .GMC_TEST(14),
+ .ICP_CURRENT(13),
+ .IF_ESCLKSTSW("DISABLE"),
+ .INTFB_WAKE("DISABLE"),
+ .KVCO(4),
+ .LPF_CAPACITOR(1),
+ .LPF_RESISTOR(4),
+ .NORESET("DISABLE"),
+ .ODIV_MUXC0("DIV"),
+ .ODIV_MUXC1("DIV"),
+ .ODIV_MUXC2("DIV"),
+ .ODIV_MUXC3("DIV"),
+ .ODIV_MUXC4("DIV"),
+ .PLLC2RST_ENA("DISABLE"),
+ .PLLC34RST_ENA("DISABLE"),
+ .PLLMRST_ENA("DISABLE"),
+ .PLLRST_ENA("ENABLE"),
+ .PLL_LOCK_MODE(0),
+ .PREDIV_MUXC0("VCO"),
+ .PREDIV_MUXC1("VCO"),
+ .PREDIV_MUXC2("VCO"),
+ .PREDIV_MUXC3("VCO"),
+ .PREDIV_MUXC4("VCO"),
+ .REFCLK_DIV(1),
+ .REFCLK_SEL("INTERNAL"),
+ .STDBY_ENABLE("ENABLE"),
+ .STDBY_VCO_ENA("DISABLE"),
+ .SYNC_ENABLE("DISABLE"),
+ .VCO_NORESET("DISABLE"))
+ \U1/pll_inst (
+ .daddr(6'b000000),
+ .dclk(1'b0),
+ .dcs(1'b0),
+ .di(8'b00000000),
+ .dwe(1'b0),
+ .fbclk(CW_CLK),
+ .load_reg(1'b0),
+ .psclk(1'b0),
+ .psclksel(3'b000),
+ .psdown(1'b0),
+ .psstep(1'b0),
+ .refclk(clk),
+ .reset(1'b0),
+ .stdby(1'b0),
+ .clkc({open_n37368,open_n37369,clk_PWM1,ADC_CLK,\U1/clk0_buf })); // al_ip/PLL_Demodulation.v(80)
+ EG_PHY_ADC #(
+ .CH0("DISABLE"),
+ .CH1("DISABLE"),
+ .CH2("DISABLE"),
+ .CH3("DISABLE"),
+ .CH4("ENABLE"),
+ .CH5("DISABLE"),
+ .CH6("ENABLE"),
+ .CH7("DISABLE"),
+ .VREF("DISABLE"))
+ \U2/adc (
+ .clk(ADC_CLK),
+ .pd(adc_Power_down),
+ .s({1'b1,Channel[1],1'b0}),
+ .soc(1'b1),
+ .dout({\ADC_Data[11]_keep ,\ADC_Data[10]_keep ,\ADC_Data[9]_keep ,\ADC_Data[8]_keep ,\ADC_Data[7]_keep ,\ADC_Data[6]_keep ,\ADC_Data[5]_keep ,\ADC_Data[4]_keep ,\ADC_Data[3]_keep ,\ADC_Data[2]_keep ,\ADC_Data[1]_keep ,\ADC_Data[0]_keep }),
+ .eoc(EOC)); // al_ip/ADC_Sampling.v(26)
+ AL_MAP_LUT5 #(
+ .EQN("(~E*~(~B*A*~(D*~C)))"),
+ .INIT(32'h0000dfdd))
+ _al_u1000 (
+ .a(_al_u995_o),
+ .b(_al_u997_o),
+ .c(_al_u999_o),
+ .d(_al_u671_o),
+ .e(rdaddr[8]),
+ .o(_al_u1000_o));
+ AL_MAP_LUT5 #(
+ .EQN("(D*~(~A*~((~C*~B))*~(E)+~A*(~C*~B)*~(E)+~(~A)*(~C*~B)*E+~A*(~C*~B)*E))"),
+ .INIT(32'hfc00aa00))
+ _al_u1001 (
+ .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_10 ),
+ .b(_al_u990_o),
+ .c(_al_u1000_o),
+ .d(rdaddr[10]),
+ .e(rdaddr[9]),
+ .o(_al_u1001_o));
+ AL_MAP_LUT5 #(
+ .EQN("~(~(~(~E*D)*B)*~(~C*A))"),
+ .INIT(32'hcece0ace))
+ _al_u1002 (
+ .a(_al_u744_o),
+ .b(_al_u828_o),
+ .c(_al_u916_o),
+ .d(_al_u959_o),
+ .e(_al_u1001_o),
+ .o(\FM_Dump_Data_IQ/n62 [3]));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hd8aa))
+ _al_u1003 (
+ .a(rdaddr[4]),
+ .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_002 ),
+ .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_002 ),
+ .d(rdaddr[5]),
+ .o(_al_u1003_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
+ .INIT(16'h0f35))
+ _al_u1004 (
+ .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_002 ),
+ .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_002 ),
+ .c(_al_u1003_o),
+ .d(rdaddr[5]),
+ .o(_al_u1004_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hd8aa))
+ _al_u1005 (
+ .a(rdaddr[4]),
+ .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_002 ),
+ .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_002 ),
+ .d(rdaddr[5]),
+ .o(_al_u1005_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
+ .INIT(16'h0f35))
+ _al_u1006 (
+ .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_002 ),
+ .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_002 ),
+ .c(_al_u1005_o),
+ .d(rdaddr[5]),
+ .o(_al_u1006_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hd8aa))
+ _al_u1007 (
+ .a(rdaddr[4]),
+ .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_002 ),
+ .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_002 ),
+ .d(rdaddr[5]),
+ .o(_al_u1007_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
+ .INIT(16'h0f35))
+ _al_u1008 (
+ .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_002 ),
+ .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_002 ),
+ .c(_al_u1007_o),
+ .d(rdaddr[5]),
+ .o(_al_u1008_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hd8aa))
+ _al_u1009 (
+ .a(rdaddr[4]),
+ .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_002 ),
+ .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_002 ),
+ .d(rdaddr[5]),
+ .o(_al_u1009_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
+ .INIT(16'h0f35))
+ _al_u1010 (
+ .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_002 ),
+ .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_002 ),
+ .c(_al_u1009_o),
+ .d(rdaddr[5]),
+ .o(_al_u1010_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hf0ac))
+ _al_u1011 (
+ .a(_al_u1008_o),
+ .b(_al_u1010_o),
+ .c(rdaddr[7]),
+ .d(rdaddr[6]),
+ .o(_al_u1011_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hcaf0))
+ _al_u1012 (
+ .a(_al_u1004_o),
+ .b(_al_u1006_o),
+ .c(_al_u1011_o),
+ .d(rdaddr[6]),
+ .o(_al_u1012_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hd8aa))
+ _al_u1013 (
+ .a(rdaddr[4]),
+ .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_002 ),
+ .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_002 ),
+ .d(rdaddr[5]),
+ .o(_al_u1013_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hf0ca))
+ _al_u1014 (
+ .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_002 ),
+ .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_002 ),
+ .c(_al_u1013_o),
+ .d(rdaddr[5]),
+ .o(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_92 ));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hd8aa))
+ _al_u1015 (
+ .a(rdaddr[4]),
+ .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_002 ),
+ .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_002 ),
+ .d(rdaddr[5]),
+ .o(_al_u1015_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
+ .INIT(16'h0f35))
+ _al_u1016 (
+ .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_002 ),
+ .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_002 ),
+ .c(_al_u1015_o),
+ .d(rdaddr[5]),
+ .o(_al_u1016_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
+ .INIT(16'h0c05))
+ _al_u1017 (
+ .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_92 ),
+ .b(_al_u1016_o),
+ .c(rdaddr[7]),
+ .d(rdaddr[6]),
+ .o(_al_u1017_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hec64))
+ _al_u1018 (
+ .a(rdaddr[5]),
+ .b(rdaddr[4]),
+ .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_002 ),
+ .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_002 ),
+ .o(_al_u1018_o));
+ AL_MAP_LUT5 #(
+ .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
+ .INIT(32'h4044484c))
+ _al_u1019 (
+ .a(_al_u1018_o),
+ .b(_al_u671_o),
+ .c(rdaddr[5]),
+ .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_002 ),
+ .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_002 ),
+ .o(_al_u1019_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hec64))
+ _al_u1020 (
+ .a(rdaddr[5]),
+ .b(rdaddr[4]),
+ .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_002 ),
+ .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_002 ),
+ .o(_al_u1020_o));
+ AL_MAP_LUT5 #(
+ .EQN("(B*(~(A)*~(C)*~(D)*~(E)+A*~(C)*~(D)*~(E)+~(A)*C*~(D)*~(E)+A*~(C)*D*~(E)+~(A)*C*D*~(E)+~(A)*~(C)*~(D)*E+~(A)*C*~(D)*E+~(A)*C*D*E))"),
+ .INIT(32'h4044484c))
+ _al_u1021 (
+ .a(_al_u1020_o),
+ .b(_al_u674_o),
+ .c(rdaddr[5]),
+ .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_002 ),
+ .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_002 ),
+ .o(_al_u1021_o));
+ AL_MAP_LUT5 #(
+ .EQN("~(~A*~((~D*~C*~B))*~(E)+~A*(~D*~C*~B)*~(E)+~(~A)*(~D*~C*~B)*E+~A*(~D*~C*~B)*E)"),
+ .INIT(32'hfffcaaaa))
+ _al_u1022 (
+ .a(_al_u1012_o),
+ .b(_al_u1017_o),
+ .c(_al_u1019_o),
+ .d(_al_u1021_o),
+ .e(rdaddr[9]),
+ .o(_al_u1022_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hec64))
+ _al_u1023 (
+ .a(rdaddr[5]),
+ .b(rdaddr[4]),
+ .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_002 ),
+ .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_002 ),
+ .o(_al_u1023_o));
+ AL_MAP_LUT5 #(
+ .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
+ .INIT(32'h8c888480))
+ _al_u1024 (
+ .a(_al_u1023_o),
+ .b(_al_u671_o),
+ .c(rdaddr[5]),
+ .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_002 ),
+ .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_002 ),
+ .o(_al_u1024_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hec64))
+ _al_u1025 (
+ .a(rdaddr[5]),
+ .b(rdaddr[4]),
+ .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_002 ),
+ .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_002 ),
+ .o(_al_u1025_o));
+ AL_MAP_LUT5 #(
+ .EQN("(B*(A*C*~(D)*~(E)+~(A)*~(C)*D*~(E)+A*C*D*~(E)+A*~(C)*~(D)*E+A*C*~(D)*E+~(A)*~(C)*D*E+A*~(C)*D*E+A*C*D*E))"),
+ .INIT(32'h8c888480))
+ _al_u1026 (
+ .a(_al_u1025_o),
+ .b(_al_u678_o),
+ .c(rdaddr[5]),
+ .d(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_002 ),
+ .e(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_002 ),
+ .o(_al_u1026_o));
+ AL_MAP_LUT4 #(
+ .EQN("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
+ .INIT(16'hd8aa))
+ _al_u1027 (
+ .a(rdaddr[4]),
+ .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_002 ),
+ .c(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_002 ),
+ .d(rdaddr[5]),
+ .o(_al_u1027_o));
+ AL_MAP_LUT4 #(
+ .EQN("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
+ .INIT(16'h0f35))
+ _al_u1028 (
+ .a(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_002 ),
+ .b(\FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_002 ),
+ .c(_al_u1027_o),
+ .d(rdaddr[5]),
+ .o(_al_u1028_o));
+ AL_M